Accueil/Home Remonter/Go Up Actualiser/Refresh  Parcourir en FTP/Browse with FTP  Parcourir en SSL/Secure Browsing

Location:

[ICO]NameLast modifiedSizeDescription

[PARENTDIR]Parent Directory  -  
[   ]lua-psl-0.3-r0.apk2024-10-26 06:09 1.1K 
[   ]lua-lcurses-9.0.0-r0.apk2024-10-26 06:09 1.1K 
[   ]msgpuck-2.0-r1.apk2024-10-26 06:10 1.2K 
[   ]lua-linenoise-0.9-r1.apk2024-10-26 06:09 1.2K 
[   ]lua-libmodbus-0.6.1-r0.apk2024-10-26 06:09 1.2K 
[   ]font-fantasque-sans-1.8.0-r0.apk2024-10-26 06:09 1.2K 
[   ]lua-editorconfig-0.3.0-r0.apk2024-10-26 06:09 1.2K 
[   ]luacov-html-1.0.0-r1.apk2024-10-26 06:09 1.2K 
[   ]libnest2d-0.4-r7.apk2025-02-06 15:44 1.2K 
[   ]libqofono-0.124-r0.apk2025-01-11 03:19 1.2K 
[   ]linuxptp-4.4-r0.apk2024-11-20 11:45 1.2K 
[   ]libsquish-1.15-r0.apk2025-12-30 04:36 1.2K 
[   ]simpleble-0.10.4-r0.apk2026-01-13 14:12 1.2K 
[   ]restinio-0.6.19-r1.apk2024-12-15 06:38 1.2K 
[   ]lumina-desktop-1.6.2-r0.apk2024-10-26 06:09 1.2K 
[   ]arc-theme-20221218-r1.apk2026-01-22 05:07 1.3K 
[   ]elastic-beats-9.2.4-r0.apk2026-01-28 15:25 1.3K 
[   ]flutter-3.38.4-r2.apk2026-01-14 15:08 1.3K 
[   ]otf-0.4.9-r1.apk2026-01-18 09:42 1.3K 
[   ]freshrss-mysql-1.28.0-r0.apk2026-01-02 12:39 1.3K 
[   ]font-cascadia-2407.24-r1.apk2025-05-28 01:36 1.3K 
[   ]lua-cmark-0.31.1-r0.apk2026-01-20 23:26 1.3K 
[   ]tofutf-0.10.0-r11.apk2026-01-18 09:42 1.3K 
[   ]freshrss-pgsql-1.28.0-r0.apk2026-01-02 12:39 1.3K 
[   ]freshrss-sqlite-1.28.0-r0.apk2026-01-02 12:39 1.3K 
[   ]yaru-common-25.10.3-r0.apk2026-01-06 16:46 1.3K 
[   ]gst-thumbnailers-1.0_alpha3-r0.apk2026-01-15 22:33 1.3K 
[   ]kanidm-1.8.5-r1.apk2026-01-12 05:22 1.3K 
[   ]phosh-osk-data-0.42.0-r0.apk2025-12-17 03:45 1.3K 
[   ]convert2json-bson-2.4.1-r0.apk2025-12-16 03:18 1.3K 
[   ]convert2json-ini-2.4.1-r0.apk2025-12-16 03:18 1.3K 
[   ]convert2json-plist-2.4.1-r0.apk2025-12-16 03:18 1.3K 
[   ]convert2json-rsv-2.4.1-r0.apk2025-12-16 03:18 1.3K 
[   ]convert2json-toml-2.4.1-r0.apk2025-12-16 03:18 1.3K 
[   ]convert2json-cbor-2.4.1-r0.apk2025-12-16 03:18 1.3K 
[   ]convert2json-csv-2.4.1-r0.apk2025-12-16 03:18 1.3K 
[   ]convert2json-xml-2.4.1-r0.apk2025-12-16 03:18 1.3K 
[   ]convert2json-yaml-2.4.1-r0.apk2025-12-16 03:18 1.3K 
[   ]convert2json-messagepack-2.4.1-r0.apk2025-12-16 03:18 1.3K 
[   ]baikal-pgsql-0.10.1-r1.apk2025-05-28 08:41 1.3K 
[   ]baikal-mysql-0.10.1-r1.apk2025-05-28 08:41 1.3K 
[   ]nb-full-7.24.0-r0.apk2026-01-22 04:04 1.3K 
[   ]lua5.1-mqtt-3.4.3-r0.apk2026-01-23 11:24 1.3K 
[   ]ruby-build-runtime-20250925-r0.apk2025-09-29 02:45 1.3K 
[   ]convert2json-2.4.1-r0.apk2025-12-16 03:18 1.3K 
[   ]musikcube-plugin-all-3.0.5-r0.apk2025-09-28 08:15 1.3K 
[   ]convert2json-json-2.4.1-r0.apk2025-12-16 03:18 1.3K 
[   ]convert2json-jaq-2.4.1-r0.apk2025-12-16 03:18 1.4K 
[   ]dvdbackup-lang-0.4.2-r1.apk2024-10-26 06:09 1.4K 
[   ]lua-xml-1.1.3-r2.apk2024-10-26 06:09 1.4K 
[   ]baikal-sqlite-0.10.1-r1.apk2025-05-28 08:41 1.4K 
[   ]nextpnr-0.7-r0.apk2024-10-26 06:10 1.4K 
[   ]prosody-modules-0.11_hg20201208-r0.apk2024-10-26 06:10 1.5K 
[   ]logc-libs-0.1.0-r0.apk2024-10-26 06:09 1.5K 
[   ]font-monaspace-1.101-r0.apk2024-10-26 06:09 1.5K 
[   ]aufs-util-dev-20161219-r3.apk2024-10-26 06:08 1.5K 
[   ]lua-luastatic-0.0.12-r1.apk2024-10-26 06:09 1.5K 
[   ]ovos-0.0.1-r1.apk2024-10-26 06:10 1.5K 
[   ]qoi-0.0.0_git20230312-r0.apk2024-10-26 06:10 1.5K 
[   ]termcolor-2.1.0-r0.apk2024-10-26 06:10 1.5K 
[   ]biboumi-doc-9.0-r9.apk2025-10-10 04:37 1.5K 
[   ]libtcmu-dev-1.6.0-r6.apk2024-10-26 06:09 1.5K 
[   ]newlib-esp-0_git20240109-r0.apk2024-10-26 06:10 1.5K 
[   ]oils-for-unix-binsh-0.35.0-r0.apk2025-09-29 09:29 1.5K 
[   ]remake-make-1.5-r1.apk2024-10-26 06:10 1.5K 
[   ]66-init-0.8.2.1-r0.apk2025-06-04 06:11 1.5K 
[   ]qt5ct-dev-1.9-r0.apk2025-11-19 15:35 1.5K 
[   ]foolsm-openrc-1.0.21-r0.apk2024-10-26 06:09 1.6K 
[   ]icingaweb2-module-pnp-doc-1.1.0-r1.apk2024-10-26 06:09 1.6K 
[   ]android-file-transfer-dev-4.5-r0.apk2025-06-25 10:02 1.6K 
[   ]openocd-git-cmd-openocd-0_git20251018-r1.apk2025-10-21 07:14 1.6K 
[   ]libopensles-standalone-dev-0_git20250913-r0.apk2025-09-23 00:30 1.6K 
[   ]nullmailer-openrc-2.2-r4.apk2024-10-26 06:10 1.6K 
[   ]throttled-openrc-0.10.0-r1.apk2024-12-16 06:25 1.6K 
[   ]prosody-mod-saslname-0.11_hg20201208-r0.apk2024-10-26 06:10 1.6K 
[   ]neocmakelsp-fish-completion-0.9.1-r0.apk2026-01-10 17:59 1.6K 
[   ]py3-litex-hub-modules-2024.04-r0.apk2024-10-26 06:10 1.6K 
[   ]pigpio-openrc-79-r4.apk2024-10-26 06:10 1.6K 
[   ]pimd-openrc-3.0_git20220201-r0.apk2024-10-26 06:10 1.6K 
[   ]barman-bash-completion-3.17.0-r0.apk2026-01-22 03:58 1.6K 
[   ]vcstool-tcsh-completion-0.3.0-r5.apk2024-10-26 06:11 1.6K 
[   ]repgrep-bash-completion-0.15.0-r0.apk2024-10-26 06:10 1.6K 
[   ]aprilsh-0.7.12-r11.apk2026-01-18 09:42 1.6K 
[   ]lizardfs-master-openrc-3.13.0-r17.apk2025-06-19 19:44 1.6K 
[   ]wch-isp-udev-rules-0.4.1-r2.apk2024-10-26 06:11 1.6K 
[   ]repgrep-zsh-completion-0.15.0-r0.apk2024-10-26 06:10 1.6K 
[   ]lizardfs-metalogger-openrc-3.13.0-r17.apk2025-06-19 19:44 1.6K 
[   ]wf-shell-dev-0.9.0-r0.apk2025-02-28 07:17 1.7K 
[   ]pneink-theme-doc-1.3-r0.apk2025-07-30 11:32 1.7K 
[   ]lizardfs-chunkserver-openrc-3.13.0-r17.apk2025-06-19 19:44 1.7K 
[   ]pd-mapper-openrc-1.1-r0.apk2026-01-13 11:01 1.7K 
[   ]dstask-zsh-completion-0.27-r5.apk2026-01-18 09:42 1.7K 
[   ]rmtfs-udev-1.1.1-r2.apk2026-01-15 15:57 1.7K 
[   ]moosefs-master-openrc-4.56.6-r2.apk2025-06-19 19:44 1.7K 
[   ]prometheus-rethinkdb-exporter-openrc-1.0.1-r34.apk2026-01-18 09:42 1.7K 
[   ]conserver-openrc-8.2.7-r0.apk2025-12-19 04:45 1.7K 
[   ]gesture-openrc-0.8-r0.apk2026-01-20 01:19 1.7K 
[   ]dstask-fish-completion-0.27-r5.apk2026-01-18 09:42 1.7K 
[   ]materia-20210322-r4.apk2026-01-20 15:56 1.7K 
[   ]moosefs-metalogger-openrc-4.56.6-r2.apk2025-06-19 19:44 1.7K 
[   ]repowerd-openrc-2023.07-r3.apk2025-02-18 02:08 1.7K 
[   ]ruuvi-prometheus-openrc-0.1.9-r8.apk2026-01-18 09:42 1.7K 
[   ]bestline-dev-0.0_git20211108-r0.apk2024-10-26 06:08 1.7K 
[   ]sssd-openrc-2.11.1-r2.apk2025-11-21 08:27 1.7K 
[   ]oils-for-unix-bash-0.35.0-r0.apk2025-09-29 09:29 1.7K 
[   ]moosefs-chunkserver-openrc-4.56.6-r2.apk2025-06-19 19:44 1.7K 
[   ]monopd-openrc-0.10.4-r0.apk2025-01-11 22:11 1.7K 
[   ]rescrobbled-openrc-0.8.0-r0.apk2026-01-26 10:23 1.7K 
[   ]hiawatha-openrc-11.6-r1.apk2025-05-27 22:07 1.7K 
[   ]3proxy-openrc-0.9.5-r1.apk2025-11-18 00:13 1.7K 
[   ]efl-gdb-1.28.1-r2.apk2025-03-26 22:50 1.7K 
[   ]interception-tools-openrc-0.6.8-r3.apk2025-10-13 01:19 1.7K 
[   ]materia-dark-20210322-r4.apk2026-01-20 15:56 1.7K 
[   ]materia-compact-20210322-r4.apk2026-01-20 15:56 1.7K 
[   ]nymphcast-mediaserver-nftables-0.1-r4.apk2025-08-29 08:08 1.7K 
[   ]fastd-openrc-23-r0.apk2025-01-28 08:33 1.7K 
[   ]fileshelter-openrc-6.2.0-r4.apk2025-09-28 09:22 1.7K 
[   ]hypridle-openrc-0.1.7-r1.apk2025-12-14 21:58 1.7K 
[   ]fluent-bit-openrc-4.2.0-r0.apk2025-12-05 05:49 1.7K 
[   ]nautilus-python-dev-4.0.1-r0.apk2025-10-13 08:54 1.7K 
[   ]deviced-openrc-0_git20250427-r0.apk2025-07-06 07:03 1.7K 
[   ]materia-dark-compact-20210322-r4.apk2026-01-20 15:56 1.7K 
[   ]remco-openrc-0.12.5-r6.apk2026-01-18 09:42 1.7K 
[   ]flutter-tool-developer-3.38.4-r2.apk2026-01-14 15:08 1.7K 
[   ]fusee-nano-udev-0.5.3-r1.apk2024-10-26 06:09 1.7K 
[   ]neard-openrc-0.19-r1.apk2026-01-19 06:02 1.7K 
[   ]pd-mapper-systemd-1.1-r0.apk2026-01-13 11:01 1.7K 
[   ]prosody-mod-require_otr-0.11_hg20201208-r0.apk2024-10-26 06:10 1.7K 
[   ]lutgen-zsh-completion-1.0.1-r0.apk2025-11-20 07:11 1.7K 
[   ]i3bar-river-openrc-1.1.0-r1.apk2025-10-30 09:51 1.7K 
[   ]rinetd-openrc-0.73-r0.apk2024-10-26 06:10 1.7K 
[   ]pully-openrc-1.0.0-r0.apk2024-10-26 06:10 1.7K 
[   ]zrepl-openrc-0.6.1-r17.apk2026-01-18 09:42 1.7K 
[   ]razercfg-openrc-0.42-r7.apk2024-10-26 06:10 1.7K 
[   ]ipp-usb-openrc-0.9.30-r6.apk2026-01-18 09:42 1.7K 
[   ]vcstool-zsh-completion-0.3.0-r5.apk2024-10-26 06:11 1.7K 
[   ]bazaar-systemd-0.7.2-r0.apk2026-01-05 01:48 1.7K 
[   ]imapgoose-openrc-0.4.1-r2.apk2026-01-18 09:42 1.7K 
[   ]infnoise-openrc-0.3.3-r0.apk2025-05-26 19:58 1.7K 
[   ]arc-20221218-r1.apk2026-01-22 05:07 1.7K 
[   ]speedtest-go-openrc-1.1.5-r21.apk2026-01-18 09:42 1.7K 
[   ]taskwarrior-tui-fish-completion-0.26.3-r0.apk2025-03-26 22:51 1.7K 
[   ]lutgen-bash-completion-1.0.1-r0.apk2025-11-20 07:11 1.7K 
[   ]81voltd-openrc-1.1.0-r0.apk2026-01-12 05:22 1.7K 
[   ]81voltd-systemd-1.1.0-r0.apk2026-01-12 05:22 1.7K 
[   ]arc-dark-20221218-r1.apk2026-01-22 05:07 1.7K 
[   ]rygel-systemd-45.1-r0.apk2026-01-06 02:35 1.7K 
[   ]dcmtk-openrc-3.7.0-r0.apk2025-12-29 07:54 1.7K 
[   ]arc-darker-20221218-r1.apk2026-01-22 05:07 1.7K 
[   ]tuptime-openrc-5.2.4-r2.apk2025-09-07 03:20 1.8K 
[   ]arc-lighter-20221218-r1.apk2026-01-22 05:07 1.8K 
[   ]pam-pkcs11-systemd-0.6.13-r1.apk2025-11-18 00:13 1.8K 
[   ]vcstool-bash-completion-0.3.0-r5.apk2024-10-26 06:11 1.8K 
[   ]hyprsunset-openrc-0.3.3-r1.apk2025-12-14 21:58 1.8K 
[   ]bionic_translation-dev-0_git20251125-r0.apk2026-01-08 23:08 1.8K 
[   ]prosody-mod-log_auth-0.11_hg20201208-r0.apk2024-10-26 06:10 1.8K 
[   ]prosody-mod-block_registrations-0.11_hg20201208-r0.apk2024-10-26 06:10 1.8K 
[   ]octoprint-openrc-1.11.5-r0.apk2026-01-18 18:01 1.8K 
[   ]kopia-bash-completion-0.22.3-r1.apk2026-01-18 09:42 1.8K 
[   ]otrs-fastcgi-6.0.48-r2.apk2024-10-26 06:10 1.8K 
[   ]phosh-tour-systemd-0.52.0-r0.apk2026-01-05 03:13 1.8K 
[   ]xdg-native-messaging-proxy-systemd-0.1.0-r0.apk2025-11-28 01:19 1.8K 
[   ]phoronix-test-suite-bash-completion-10.8.4-r2.apk2024-10-26 06:10 1.8K 
[   ]syncwhen-systemd-0.3-r0.apk2025-11-02 04:26 1.8K 
[   ]geodns-openrc-3.3.0-r19.apk2026-01-18 09:42 1.8K 
[   ]kpatch-systemd-0.9.11-r1.apk2025-11-18 00:13 1.8K 
[   ]peervpn-openrc-0.044-r5.apk2024-10-26 06:10 1.8K 
[   ]imapgoose-systemd-0.4.1-r2.apk2026-01-18 09:42 1.8K 
[   ]lomiri-indicator-location-systemd-25.4.22-r1.apk2025-11-18 00:13 1.8K 
[   ]usb-moded-notify-systemd-0.3.0-r0.apk2025-12-29 05:16 1.8K 
[   ]corosync-openrc-3.1.10-r0.apk2025-12-27 21:37 1.8K 
[   ]docker-volume-local-persist-openrc-1.3.0-r39.apk2026-01-18 09:42 1.8K 
[   ]mailctl-bash-completion-0.9.2-r0.apk2024-10-26 06:09 1.8K 
[   ]kopia-zsh-completion-0.22.3-r1.apk2026-01-18 09:42 1.8K 
[   ]lutgen-fish-completion-1.0.1-r0.apk2025-11-20 07:11 1.8K 
[   ]paged-markdown-3-pdf-fish-completion-0.1.3-r0.apk2025-05-29 21:14 1.8K 
[   ]cloudflared-openrc-2025.11.1-r12.apk2026-01-18 09:42 1.8K 
[   ]nvim-cmp-cmdline-doc-0.0.0_git20220902-r1.apk2024-10-26 06:10 1.8K 
[   ]ghq-bash-completion-1.8.0-r7.apk2026-01-18 09:42 1.8K 
[   ]otrs-nginx-6.0.48-r2.apk2024-10-26 06:10 1.8K 
[   ]mkdocs-cinder-pyc-1.2.0-r5.apk2024-10-26 06:10 1.8K 
[   ]cliphist-fzf-0.7.0-r2.apk2026-01-18 09:42 1.8K 
[   ]mediascanner2-systemd-0.118-r4.apk2025-11-18 00:13 1.8K 
[   ]elementary-settings-daemon-openrc-8.3.0-r0.apk2025-05-26 20:05 1.8K 
[   ]crowdsec-openrc-1.7.6-r0.apk2026-01-26 06:04 1.8K 
[   ]avahi2dns-systemd-0.1.0-r9.apk2026-01-20 13:09 1.8K 
[   ]piping-server-openrc-0.18.0-r0.apk2024-10-26 06:10 1.8K 
[   ]udpt-openrc-3.1.2-r0.apk2024-10-26 06:11 1.8K 
[   ]q6voiced-openrc-0.2.1-r0.apk2025-12-31 00:28 1.8K 
[   ]turnstile-openrc-0.1.10-r3.apk2024-10-26 06:11 1.8K 
[   ]mkdocs-ivory-pyc-0.4.6-r5.apk2024-10-26 06:10 1.8K 
[   ]gearmand-openrc-1.1.22-r0.apk2025-09-07 03:20 1.8K 
[   ]prosody-mod-auth_pam-0.11_hg20201208-r0.apk2024-10-26 06:10 1.8K 
[   ]mkdocs-bootstrap-pyc-1.1.1-r2.apk2024-10-26 06:10 1.8K 
[   ]shutdown-clear-machine-id-1.0.0-r0.apk2024-10-26 06:10 1.8K 
[   ]mkdocs-windmill-pyc-1.0.5-r4.apk2024-10-26 06:10 1.8K 
[   ]spacectl-zsh-completion-1.12.0-r7.apk2026-01-18 09:42 1.8K 
[   ]avahi2dns-openrc-0.1.0-r9.apk2026-01-20 13:09 1.8K 
[   ]mkdocs-bootstrap4-pyc-0.1.5-r5.apk2024-10-26 06:10 1.8K 
[   ]espeakup-openrc-0.90-r2.apk2024-10-26 06:09 1.8K 
[   ]mkdocs-bootstrap386-pyc-0.0.2-r5.apk2024-10-26 06:10 1.8K 
[   ]mkdocs-cluster-pyc-0.0.9-r5.apk2024-10-26 06:10 1.8K 
[   ]mkdocs-gitbook-pyc-0.0.1-r5.apk2024-10-26 06:10 1.8K 
[   ]mkdocs-rtd-dropdown-pyc-1.0.2-r5.apk2024-10-26 06:10 1.8K 
[   ]hwatch-fish-completion-0.3.11-r0.apk2024-10-26 06:09 1.8K 
[   ]tremc-zsh-completion-0.9.4-r0.apk2025-07-16 19:48 1.8K 
[   ]icingaweb2-module-generictts-doc-2.1.0-r0.apk2024-10-26 06:09 1.8K 
[   ]irccd-openrc-4.0.3-r0.apk2024-10-26 06:09 1.8K 
[   ]filebrowser-openrc-2.27.0-r17.apk2026-01-18 09:42 1.8K 
[   ]taskcafe-openrc-0.3.6-r19.apk2026-01-18 09:42 1.8K 
[   ]nfcd-systemd-1.2.4-r0.apk2026-01-01 03:43 1.8K 
[   ]nvimpager-zsh-completion-0.12.0-r0.apk2024-10-26 06:10 1.8K 
[   ]aprilsh-openrc-0.7.12-r11.apk2026-01-18 09:42 1.8K 
[   ]reaction-openrc-2.2.1-r0.apk2025-09-24 08:13 1.8K 
[   ]microsocks-openrc-1.0.5-r0.apk2025-12-22 10:53 1.8K 
[   ]jackal-openrc-0.64.0-r21.apk2026-01-18 09:42 1.8K 
[   ]py3-cython-test-exception-raiser-pyc-25.11.0-r0.apk2025-11-12 06:23 1.8K 
[   ]py3-sphinx-theme-bw-pyc-0.1.8-r7.apk2024-10-26 06:10 1.8K 
[   ]ckb-next-daemon-openrc-0.6.2-r1.apk2025-09-07 03:20 1.8K 
[   ]p910nd-openrc-0.97-r2.apk2024-10-26 06:10 1.8K 
[   ]battery-limit-openrc-1-r0.apk2025-06-17 22:01 1.8K 
[   ]q6voiced-systemd-0.2.1-r0.apk2025-12-31 00:28 1.8K 
[   ]roll-bash-completion-2.6.1-r1.apk2025-10-14 03:00 1.8K 
[   ]minidyndns-openrc-1.3.0-r3.apk2024-10-26 06:10 1.8K 
[   ]pihole-openrc-6.2.3-r0.apk2025-06-21 05:05 1.8K 
[   ]prosody-mod-broadcast-0.11_hg20201208-r0.apk2024-10-26 06:10 1.8K 
[   ]pimd-dense-openrc-2.1.0-r0.apk2024-10-26 06:10 1.8K 
[   ]3proxy-systemd-0.9.5-r1.apk2025-11-18 00:13 1.8K 
[   ]rmtfs-systemd-1.1.1-r2.apk2026-01-15 15:57 1.9K 
[   ]dmarc-metrics-exporter-openrc-1.2.0-r0.apk2024-11-30 09:02 1.9K 
[   ]yaru-schemas-25.10.3-r0.apk2026-01-06 16:46 1.9K 
[   ]speedtest_exporter-openrc-0.3.2-r21.apk2026-01-18 09:42 1.9K 
[   ]debconf-bash-completion-1.5.82-r0.apk2024-10-26 06:08 1.9K 
[   ]tempo-openrc-2.10.0-r0.apk2026-01-27 22:38 1.9K 
[   ]paged-markdown-3-pdf-zsh-completion-0.1.3-r0.apk2025-05-29 21:14 1.9K 
[   ]mtg-openrc-2.1.7-r27.apk2026-01-18 09:42 1.9K 
[   ]paged-markdown-3-pdf-bash-completion-0.1.3-r0.apk2025-05-29 21:14 1.9K 
[   ]librespot-openrc-0.8.0-r0.apk2025-11-20 05:26 1.9K 
[   ]mailctl-fish-completion-0.9.2-r0.apk2024-10-26 06:09 1.9K 
[   ]river-bedload-zsh-completion-0.1.1_git20250823-r0.apk2025-09-21 18:18 1.9K 
[   ]ssh-studio-lang-1.3.1-r0.apk2025-10-13 07:35 1.9K 
[   ]olsrd-openrc-0.9.8-r3.apk2024-10-26 06:10 1.9K 
[   ]pgcat-openrc-1.2.0-r1.apk2025-01-02 04:38 1.9K 
[   ]i3bar-river-systemd-1.1.0-r1.apk2025-10-30 09:51 1.9K 
[   ]eiwd-openrc-3.10-r0.apk2025-10-10 04:37 1.9K 
[   ]llmnrd-openrc-0.7-r1.apk2024-10-26 06:09 1.9K 
[   ]tpm2-pkcs11-dev-1.9.2-r0.apk2025-12-14 03:10 1.9K 
[   ]lizardfs-bash-completion-3.13.0-r17.apk2025-06-19 19:44 1.9K 
[   ]pict-rs-openrc-0.5.19-r1.apk2025-10-15 01:31 1.9K 
[   ]tang-openrc-15-r0.apk2025-01-26 17:27 1.9K 
[   ]biboumi-openrc-9.0-r9.apk2025-10-10 04:37 1.9K 
[   ]prometheus-smartctl-exporter-openrc-0.14.0-r6.apk2026-01-18 09:42 1.9K 
[   ]flowd-openrc-0.9.1-r11.apk2025-06-30 18:25 1.9K 
[   ]icesprog-udev-0_git20240108-r1.apk2024-10-26 06:09 1.9K 
[   ]lemmy-openrc-0.19.12-r1.apk2025-10-15 01:31 1.9K 
[   ]otrs-openrc-6.0.48-r2.apk2024-10-26 06:10 1.9K 
[   ]prometheus-ceph-exporter-openrc-4.2.5-r10.apk2026-01-18 09:42 1.9K 
[   ]minisatip-openrc-1.3.4-r0.apk2024-10-26 06:10 1.9K 
[   ]satellite-openrc-1.0.0-r34.apk2026-01-18 09:42 1.9K 
[   ]tremc-bash-completion-0.9.4-r0.apk2025-07-16 19:48 1.9K 
[   ]json2tsv-jaq-1.2-r0.apk2024-10-26 06:09 1.9K 
[   ]sish-openrc-2.16.1-r11.apk2026-01-18 09:42 1.9K 
[   ]py3-cryptg-pyc-0.5.0-r0.apk2025-05-08 23:22 1.9K 
[   ]flawz-fish-completion-0.3.0-r0.apk2024-11-04 08:06 1.9K 
[   ]seaweedfs-openrc-3.93-r5.apk2026-01-18 09:42 1.9K 
[   ]cloudflared-doc-2025.11.1-r12.apk2026-01-18 09:42 1.9K 
[   ]openfire-openrc-4.8.1-r1.apk2024-12-04 22:57 1.9K 
[   ]conntracct-openrc-0.2.7-r37.apk2026-01-18 09:42 1.9K 
[   ]empede-openrc-0.2.3-r0.apk2024-10-26 06:09 1.9K 
[   ]switcheroo-control-systemd-3.0-r0.apk2026-01-29 08:26 1.9K 
[   ]hwatch-zsh-completion-0.3.11-r0.apk2024-10-26 06:09 1.9K 
[   ]daktilo-fish-completion-0.6.0-r0.apk2024-10-26 06:08 1.9K 
[   ]please-build-bash-completion-17.22.0-r2.apk2026-01-18 09:42 1.9K 
[   ]please-build-zsh-completion-17.22.0-r2.apk2026-01-18 09:42 1.9K 
[   ]ntpd-rs-openrc-1.6.2-r2.apk2025-12-19 04:56 1.9K 
[   ]bees-openrc-0.10-r2.apk2024-10-26 06:08 1.9K 
[   ]zrepl-zsh-completion-0.6.1-r17.apk2026-01-18 09:42 1.9K 
[   ]thanos-openrc-0.31.0-r19.apk2026-01-18 09:42 1.9K 
[   ]sthttpd-openrc-2.27.1-r2.apk2024-10-26 06:10 1.9K 
[   ]tuwunel-openrc-1.4.9.1-r0.apk2026-01-02 00:24 2.0K 
[   ]apt-swarm-openrc-0.5.1-r1.apk2025-10-13 00:43 2.0K 
[   ]hardinfo2-openrc-2.2.13-r0.apk2025-09-28 22:21 2.0K 
[   ]ry-bash-completion-0.5.2-r1.apk2024-10-26 06:10 2.0K 
[   ]mailctl-zsh-completion-0.9.2-r0.apk2024-10-26 06:09 2.0K 
[   ]turn-rs-openrc-3.4.0-r1.apk2025-06-13 02:22 2.0K 
[   ]prosody-mod-ipcheck-0.11_hg20201208-r0.apk2024-10-26 06:10 2.0K 
[   ]halp-fish-completion-0.2.0-r0.apk2024-10-26 06:09 2.0K 
[   ]mautrix-zulip-openrc-0.2511.0-r2.apk2026-01-18 09:42 2.0K 
[   ]upterm-server-openrc-0.17.0-r2.apk2026-01-18 09:42 2.0K 
[   ]chasquid-openrc-1.17.0-r1.apk2026-01-18 09:42 2.0K 
[   ]daemontools-openrc-0.76-r3.apk2024-10-26 06:08 2.0K 
[   ]prometheus-unbound-exporter-openrc-0.4.6-r5.apk2025-05-14 09:00 2.0K 
[   ]agate-openrc-3.3.19-r0.apk2025-09-24 08:13 2.0K 
[   ]exercism-bash-completion-3.2.0-r19.apk2026-01-18 09:42 2.0K 
[   ]tempo-vulture-openrc-2.10.0-r0.apk2026-01-27 22:38 2.0K 
[   ]py3-slidge-style-parser-pyc-0.1.9-r0.apk2025-04-14 07:28 2.0K 
[   ]mautrix-linkedin-openrc-0.2512.0-r1.apk2026-01-18 09:42 2.0K 
[   ]py3-lzo-pyc-1.16-r1.apk2024-10-26 06:10 2.0K 
[   ]apx-doc-3.0.1-r0.apk2026-01-23 03:14 2.0K 
[   ]hamster-time-tracker-bash-completion-3.0.3-r2.apk2024-10-26 06:09 2.0K 
[   ]lizardfs-cgiserv-openrc-3.13.0-r17.apk2025-06-19 19:44 2.0K 
[   ]stayrtr-openrc-0.6.3-r2.apk2026-01-18 09:42 2.0K 
[   ]mtail-openrc-3.2.26-r2.apk2026-01-18 09:42 2.0K 
[   ]prometheus-smtp2go-exporter-openrc-0.1.1-r5.apk2026-01-18 09:42 2.0K 
[   ]downloader-cli-0.3.4-r2.apk2025-05-15 05:17 2.0K 
[   ]nvim-cmp-path-doc-0.0.0_git20221002-r1.apk2024-10-26 06:10 2.0K 
[   ]gmcapsule-openrc-0.9.8-r0.apk2025-10-10 04:38 2.0K 
[   ]taskwarrior-tui-bash-completion-0.26.3-r0.apk2025-03-26 22:51 2.0K 
[   ]neocmakelsp-bash-completion-0.9.1-r0.apk2026-01-10 17:59 2.0K 
[   ]py3-sphinx-theme-better-pyc-0.1.5-r7.apk2024-10-26 06:10 2.0K 
[   ]neocmakelsp-zsh-completion-0.9.1-r0.apk2026-01-10 17:59 2.0K 
[   ]nmap-parse-output-bash-completion-1.5.1-r1.apk2025-05-29 23:00 2.0K 
[   ]alertmanager-irc-relay-openrc-0.5.1-r1.apk2025-09-07 03:20 2.0K 
[   ]geomyidae-openrc-0.34-r2.apk2024-10-26 06:09 2.0K 
[   ]msh-openrc-2.5.0-r18.apk2026-01-18 09:42 2.0K 
[   ]nmqtt-openrc-1.0.7-r0.apk2026-01-25 00:35 2.0K 
[   ]py3-keepalive-doc-0.5-r5.apk2024-10-26 06:10 2.0K 
[   ]otf-agent-openrc-0.4.9-r1.apk2026-01-18 09:42 2.0K 
[   ]tofutf-agent-openrc-0.10.0-r11.apk2026-01-18 09:42 2.0K 
[   ]moosefs-cgiserv-openrc-4.56.6-r2.apk2025-06-19 19:44 2.0K 
[   ]otf-server-openrc-0.4.9-r1.apk2026-01-18 09:42 2.0K 
[   ]vimv-doc-3.1.0-r0.apk2025-10-13 08:19 2.0K 
[   ]prosody-mod-reload_modules-0.11_hg20201208-r0.apk2024-10-26 06:10 2.0K 
[   ]tofutf-server-openrc-0.10.0-r11.apk2026-01-18 09:42 2.0K 
[   ]gotify-openrc-2.7.2-r3.apk2026-01-18 09:42 2.0K 
[   ]zot-openrc-2.1.8-r3.apk2026-01-18 09:42 2.0K 
[   ]prosody-mod-c2s_conn_throttle-0.11_hg20201208-r0.apk2024-10-26 06:10 2.0K 
[   ]66-tools-dev-0.1.2.0-r0.apk2025-06-02 02:50 2.0K 
[   ]projectm-pulseaudio-doc-3.1.12-r2.apk2024-10-26 06:10 2.0K 
[   ]prosody-mod-s2s_idle_timeout-0.11_hg20201208-r0.apk2024-10-26 06:10 2.0K 
[   ]cpu-x-bash-completion-5.2.0-r1.apk2025-05-06 09:11 2.0K 
[   ]alps-openrc-0_git20230807-r18.apk2026-01-18 09:42 2.0K 
[   ]filebeat-openrc-9.2.4-r0.apk2026-01-28 15:25 2.0K 
[   ]dnote-zsh-completion-0.16.0-r1.apk2026-01-18 09:42 2.0K 
[   ]lomiri-docviewer-app-doc-3.1.2-r0.apk2025-08-20 07:08 2.0K 
[   ]prosody-mod-support_contact-0.11_hg20201208-r0.apk2024-10-26 06:10 2.0K 
[   ]py3-editdistance-s-pyc-1.0.0-r6.apk2024-10-26 06:10 2.0K 
[   ]tealdeer-bash-completion-1.8.0-r0.apk2025-10-28 13:27 2.0K 
[   ]prosody-mod-stanza_counter-0.11_hg20201208-r0.apk2024-10-26 06:10 2.0K 
[   ]openswitcher-proxy-openrc-0.5.0-r4.apk2024-10-26 06:10 2.0K 
[   ]prowlarr-openrc-2.3.0.5236-r0.apk2025-12-14 04:14 2.0K 
[   ]thelounge-openrc-4.4.3-r0.apk2024-10-26 06:11 2.0K 
[   ]clevis-bash-completion-21-r0.apk2025-01-26 17:27 2.0K 
[   ]nvim-cmp-luasnip-doc-0.0.0_git20220501-r1.apk2024-10-26 06:10 2.0K 
[   ]atool-bash-completion-0.39.0-r4.apk2024-10-26 06:08 2.0K 
[   ]libuninameslist-doc-20230916-r0.apk2024-10-26 06:09 2.0K 
[   ]lidarr-openrc-3.1.0.4875-r0.apk2025-12-14 04:30 2.0K 
[   ]sonarr-openrc-4.0.16.2944-r0.apk2025-11-18 01:37 2.0K 
[   ]cortex-tenant-openrc-1.15.7-r3.apk2026-01-18 09:42 2.0K 
[   ]radarr-openrc-6.0.4.10291-r0.apk2025-11-18 01:41 2.0K 
[   ]autoscan-openrc-1.4.0-r17.apk2026-01-18 09:42 2.0K 
[   ]spacectl-bash-completion-1.12.0-r7.apk2026-01-18 09:42 2.0K 
[   ]ssh-honeypot-openrc-0.1.1-r1.apk2024-10-26 06:10 2.0K 
[   ]metricbeat-openrc-9.2.4-r0.apk2026-01-28 15:25 2.1K 
[   ]firehol-openrc-3.1.7-r2.apk2024-10-26 06:09 2.1K 
[   ]lomiri-indicator-network-doc-1.1.2-r0.apk2025-09-08 12:00 2.1K 
[   ]sc-controller-udev-0.5.5-r0.apk2025-12-14 19:56 2.1K 
[   ]apmpkg-fish-completion-1.5.1-r3.apk2024-10-26 06:08 2.1K 
[   ]kanidm-openrc-1.8.5-r1.apk2026-01-12 05:22 2.1K 
[   ]pgqd-openrc-3.5-r0.apk2026-01-29 04:46 2.1K 
[   ]stalwart-mail-openrc-0.15.4-r3.apk2026-01-22 12:50 2.1K 
[   ]rezolus-openrc-2.11.1-r3.apk2024-10-26 06:10 2.1K 
[   ]nzbget-openrc-25.4-r0.apk2025-11-18 01:43 2.1K 
[   ]plakar-doc-1.0.6-r2.apk2026-01-18 09:42 2.1K 
[   ]py3-svglib-doc-1.5.1-r0.apk2025-06-11 06:57 2.1K 
[   ]dasht-zsh-completion-2.4.0-r0.apk2024-10-26 06:08 2.1K 
[   ]fuzzylite-doc-6.0-r2.apk2025-02-02 03:09 2.1K 
[   ]pithos-doc-1.6.1-r0.apk2024-10-26 06:10 2.1K 
[   ]dnote-bash-completion-0.16.0-r1.apk2026-01-18 09:42 2.1K 
[   ]prometheus-opnsense-exporter-openrc-0.0.11-r3.apk2026-01-18 09:42 2.1K 
[   ]semaphoreui-openrc-2.16.37-r2.apk2026-01-18 09:42 2.1K 
[   ]nim-cligen-doc-1.9.5-r0.apk2026-01-25 00:35 2.1K 
[   ]wk-adblock-doc-0.0.4-r5.apk2024-10-26 06:11 2.1K 
[   ]kondo-fish-completion-0.8-r0.apk2024-10-26 06:09 2.1K 
[   ]viewnior-doc-1.8-r1.apk2024-10-26 06:11 2.1K 
[   ]fildesh-doc-0.2.0-r0.apk2024-10-26 06:09 2.1K 
[   ]kondo-bash-completion-0.8-r0.apk2024-10-26 06:09 2.1K 
[   ]cherrytree-doc-1.4.0-r0.apk2025-03-27 04:40 2.1K 
[   ]py3-swagger-ui-bundle-pyc-1.1.0-r1.apk2024-10-26 06:10 2.1K 
[   ]oauth2-proxy-openrc-7.11.0-r5.apk2026-01-18 09:42 2.1K 
[   ]dstask-bash-completion-0.27-r5.apk2026-01-18 09:42 2.1K 
[   ]tailspin-fish-completion-5.5.0-r0.apk2025-10-28 17:57 2.1K 
[   ]exercism-zsh-completion-3.2.0-r19.apk2026-01-18 09:42 2.1K 
[   ]rmtfs-openrc-1.1.1-r2.apk2026-01-15 15:57 2.1K 
[   ]xkb-switch-doc-1.8.5-r1.apk2025-05-15 05:17 2.1K 
[   ]ytmdl-zsh-completion-2024.08.15.1-r1.apk2025-05-15 05:17 2.1K 
[   ]flawz-bash-completion-0.3.0-r0.apk2024-11-04 08:06 2.1K 
[   ]sdl3_image-doc-3.2.6-r0.apk2026-01-08 13:33 2.1K 
[   ]cpu-x-zsh-completion-5.2.0-r1.apk2025-05-06 09:11 2.1K 
[   ]lomiri-location-service-systemd-3.3.0-r6.apk2026-01-16 05:17 2.1K 
[   ]haproxy-dataplaneapi2-openrc-2.9.18-r3.apk2026-01-18 09:42 2.1K 
[   ]kuma-dp-openrc-2.10.1-r7.apk2026-01-18 09:42 2.1K 
[   ]lemmy-ui-openrc-0.19.12-r0.apk2025-06-23 15:45 2.1K 
[   ]mdnsd-openrc-0.12-r1.apk2024-10-26 06:10 2.1K 
[   ]qtpass-doc-1.4.0-r0.apk2024-10-26 06:10 2.1K 
[   ]py3-pypubsub-doc-4.0.3-r0.apk2024-10-26 06:10 2.1K 
[   ]kuma-cp-openrc-2.10.1-r7.apk2026-01-18 09:42 2.2K 
[   ]ydcv-zsh-completion-0.7-r8.apk2024-10-26 06:11 2.2K 
[   ]planner-doc-0.14.92-r1.apk2024-12-09 08:43 2.2K 
[   ]hare-madeline-doc-0.1_git20240505-r1.apk2024-11-29 03:16 2.2K 
[   ]daktilo-bash-completion-0.6.0-r0.apk2024-10-26 06:08 2.2K 
[   ]fbcur-doc-1.0.1-r1.apk2024-10-26 06:09 2.2K 
[   ]halp-bash-completion-0.2.0-r0.apk2024-10-26 06:09 2.2K 
[   ]libideviceactivation-doc-1.1.1-r5.apk2024-10-31 09:44 2.2K 
[   ]nano-hare-0_git20231021-r0.apk2024-10-26 06:10 2.2K 
[   ]prosody-mod-log_slow_events-0.11_hg20201208-r0.apk2024-10-26 06:10 2.2K 
[   ]pihole-bash-completion-6.2.3-r0.apk2025-06-21 05:05 2.2K 
[   ]hddfancontrol-openrc-1.6.2-r0.apk2024-10-26 06:09 2.2K 
[   ]piler-openrc-1.4.7-r0.apk2025-01-16 21:12 2.2K 
[   ]vector-openrc-0.50.0-r0.apk2025-10-10 04:38 2.2K 
[   ]apmpkg-bash-completion-1.5.1-r3.apk2024-10-26 06:08 2.2K 
[   ]flare-game-1.14-r0.apk2024-10-26 06:09 2.2K 
[   ]vscodium-bash-completion-1.106.37943-r0.apk2025-12-22 06:09 2.2K 
[   ]adguardhome-openrc-0.107.71-r1.apk2026-01-18 09:42 2.2K 
[   ]porla-doc-0.41.0-r2.apk2025-02-25 02:36 2.2K 
[   ]greetd-mini-wl-greeter-bash-completion-0_git20230821-r0.apk2024-10-26 06:09 2.2K 
[   ]py3-uacme-desec-doc-1.2.1-r0.apk2024-10-26 06:10 2.2K 
[   ]gnome-mahjongg-doc-49.1.1-r0.apk2026-01-28 04:03 2.2K 
[   ]libsirocco-dev-2.1.1-r0.apk2025-09-01 04:16 2.2K 
[   ]whatsie-doc-4.16.3-r0.apk2025-01-13 00:30 2.2K 
[   ]syncthing-gtk-doc-0.9.4.5-r2.apk2024-10-26 06:10 2.2K 
[   ]code-oss-bash-completion-1.105.1-r1.apk2025-11-11 06:20 2.2K 
[   ]py3-sphinx-theme-readable-pyc-1.3.0-r9.apk2024-10-26 06:10 2.2K 
[   ]cpu-x-fish-completion-5.2.0-r1.apk2025-05-06 09:11 2.2K 
[   ]zmx-doc-0.2.0-r0.apk2026-01-02 10:27 2.2K 
[   ]motion-openrc-4.7.1-r0.apk2025-09-28 08:15 2.2K 
[   ]wpa_actiond-openrc-1.4-r7.apk2024-10-26 06:11 2.2K 
[   ]bootchart2-systemd-0.14.9-r1.apk2025-11-18 00:13 2.2K 
[   ]py3-phx-class-registry-doc-5.0.0-r0.apk2024-10-26 06:10 2.2K 
[   ]cargo-leptos-doc-0.3.4-r0.apk2026-01-26 05:59 2.2K 
[   ]ddgr-bash-completion-2.2-r0.apk2024-10-26 06:08 2.2K 
[   ]flawz-zsh-completion-0.3.0-r0.apk2024-11-04 08:06 2.2K 
[   ]ruby-minitest-power_assert-0.3.1-r0.apk2025-07-07 05:24 2.2K 
[   ]mml-fish-completion-1.0.0-r1.apk2025-09-30 11:41 2.2K 
[   ]openrdap-doc-0.9.1-r0.apk2025-06-25 02:50 2.2K 
[   ]svls-doc-0.2.14-r0.apk2025-12-13 13:59 2.2K 
[   ]walk-doc-1.13.0-r9.apk2026-01-18 09:42 2.2K 
[   ]py3-flake8-todo-pyc-0.7-r7.apk2024-10-26 06:10 2.2K 
[   ]duckdb-doc-1.4.3-r1.apk2026-01-09 02:54 2.2K 
[   ]py3-rst2ansi-doc-0.1.5-r0.apk2024-10-26 06:10 2.2K 
[   ]chess-tui-doc-2.0.0-r0.apk2025-12-13 12:11 2.2K 
[   ]jaq-doc-2.3.0-r0.apk2025-07-23 15:04 2.2K 
[   ]hyx-doc-2024.02.29-r0.apk2024-10-26 06:09 2.2K 
[   ]ruri-doc-3.9.3-r0.apk2025-10-27 20:05 2.2K 
[   ]net-predictable-doc-1.5.1-r6.apk2026-01-18 09:42 2.2K 
[   ]asdf-doc-0.18.0-r6.apk2026-01-18 09:42 2.2K 
[   ]lefthook-doc-2.0.16-r0.apk2026-01-28 23:00 2.2K 
[   ]oha-doc-1.12.1-r0.apk2025-12-20 11:03 2.2K 
[   ]81voltd-doc-1.1.0-r0.apk2026-01-12 05:22 2.2K 
[   ]tailspin-bash-completion-5.5.0-r0.apk2025-10-28 17:57 2.2K 
[   ]ansifilter-bash-completion-2.22-r0.apk2026-01-20 04:09 2.2K 
[   ]pikchr-cmd-doc-1.0.0-r0.apk2025-10-25 20:52 2.2K 
[   ]sentinel-proxy-openrc-2.1.0-r1.apk2025-06-14 02:19 2.2K 
[   ]yamldiff-doc-0.3.0-r4.apk2026-01-18 09:42 2.2K 
[   ]rustdesk-server-openrc-1.1.10.3-r0.apk2024-10-26 06:10 2.2K 
[   ]wifitui-doc-0.10.0-r0.apk2026-01-27 01:22 2.2K 
[   ]edit-doc-1.2.1-r0.apk2025-10-17 00:17 2.2K 
[   ]ruby-crack-doc-1.0.1-r0.apk2025-10-21 15:14 2.2K 
[   ]ruby-hashdiff-doc-1.2.1-r0.apk2025-10-21 15:18 2.2K 
[   ]sshm-doc-1.10.0-r1.apk2026-01-18 09:42 2.2K 
[   ]webhookd-openrc-1.20.2-r6.apk2026-01-18 09:42 2.2K 
[   ]catppuccin-whiskers-doc-2.5.1-r0.apk2025-11-30 05:59 2.3K 
[   ]helmfile-doc-1.1.8-r2.apk2026-01-18 09:42 2.3K 
[   ]exabgp-openrc-4.2.24-r1.apk2025-09-07 03:20 2.3K 
[   ]helm-ls-doc-0.5.4-r2.apk2026-01-18 09:42 2.3K 
[   ]httplz-doc-2.2.0-r0.apk2025-05-19 02:38 2.3K 
[   ]imgdiff-doc-1.0.2-r32.apk2026-01-18 09:42 2.3K 
[   ]libsquish-doc-1.15-r0.apk2025-12-30 04:36 2.3K 
[   ]mods-doc-1.8.1-r4.apk2026-01-18 09:42 2.3K 
[   ]tmpl-doc-0.4.0-r17.apk2026-01-18 09:42 2.3K 
[   ]py3-deluge-client-doc-1.10.2-r0.apk2024-10-26 06:10 2.3K 
[   ]katana-doc-1.4.0-r0.apk2026-01-22 12:50 2.3K 
[   ]packwiz-doc-0_git20251102-r2.apk2026-01-18 09:42 2.3K 
[   ]ry-zsh-completion-0.5.2-r1.apk2024-10-26 06:10 2.3K 
[   ]mml-bash-completion-1.0.0-r1.apk2025-09-30 11:41 2.3K 
[   ]py3-python-logstash-doc-0.4.8-r4.apk2024-10-26 06:10 2.3K 
[   ]remco-doc-0.12.5-r6.apk2026-01-18 09:42 2.3K 
[   ]webhookd-doc-1.20.2-r6.apk2026-01-18 09:42 2.3K 
[   ]goshs-doc-1.1.3-r1.apk2026-01-18 09:42 2.3K 
[   ]slurm-doc-0.4.4-r0.apk2024-10-26 06:10 2.3K 
[   ]gmid-openrc-2.1.1-r1.apk2026-01-13 04:29 2.3K 
[   ]ruby-dry-inflector-doc-1.3.1-r0.apk2026-01-15 05:51 2.3K 
[   ]dbmate-doc-2.28.0-r2.apk2026-01-18 09:42 2.3K 
[   ]nim-sha2-doc-0.1.1-r0.apk2026-01-25 00:35 2.3K 
[   ]nmqtt-doc-1.0.7-r0.apk2026-01-25 00:35 2.3K 
[   ]daktilo-zsh-completion-0.6.0-r0.apk2024-10-26 06:08 2.3K 
[   ]ekphos-doc-0.20.0-r0.apk2026-01-28 14:28 2.3K 
[   ]go-away-openrc-0.7.0-r1.apk2025-09-07 03:20 2.3K 
[   ]tfupdate-doc-0.8.2-r12.apk2026-01-18 09:42 2.3K 
[   ]gx-go-doc-1.9.0-r38.apk2026-01-18 09:42 2.3K 
[   ]inlyne-doc-0.5.0-r0.apk2025-12-22 13:56 2.3K 
[   ]ruby-benchmark-ips-doc-2.14.0-r0.apk2025-05-26 10:54 2.3K 
[   ]ruby-event_emitter-doc-0.2.6-r0.apk2025-05-26 11:00 2.3K 
[   ]emacs-lsp-booster-doc-0.2.1-r0.apk2025-04-14 07:28 2.3K 
[   ]recyclarr-doc-7.5.2-r0.apk2025-12-14 04:36 2.3K 
[   ]ssh-get-id-doc-0.2.0-r1.apk2026-01-18 09:42 2.3K 
[   ]gx-doc-0.14.3-r36.apk2026-01-18 09:42 2.3K 
[   ]q6voiced-doc-0.2.1-r0.apk2025-12-31 00:28 2.3K 
[   ]tree-sitter-pascal-doc-0.9.1-r0.apk2024-10-26 06:11 2.3K 
[   ]drogon-doc-1.9.4-r2.apk2025-05-22 17:53 2.3K 
[   ]tealdeer-fish-completion-1.8.0-r0.apk2025-10-28 13:27 2.3K 
[   ]ytmdl-bash-completion-2024.08.15.1-r1.apk2025-05-15 05:17 2.3K 
[   ]nuclei-doc-3.6.2-r1.apk2026-01-18 09:42 2.3K 
[   ]py-spy-doc-0.3.14-r3.apk2024-10-26 06:10 2.3K 
[   ]copyq-bash-completion-13.0.0-r1.apk2025-12-05 05:49 2.3K 
[   ]cyrus-sasl-xoauth2-doc-0.2-r1.apk2024-10-26 06:08 2.3K 
[   ]httpx-doc-1.8.1-r0.apk2026-01-23 05:40 2.3K 
[   ]scenefx-doc-0.4.1-r0.apk2025-12-21 10:13 2.3K 
[   ]naabu-doc-2.4.0-r0.apk2026-01-22 12:50 2.3K 
[   ]protoc-gen-doc-doc-1.5.1-r1.apk2026-01-18 09:42 2.3K 
[   ]lua-markdown-doc-0.33-r0.apk2026-01-23 11:17 2.3K 
[   ]ghostty-doc-1.2.3_git20260112-r1.apk2026-01-21 21:45 2.3K 
[   ]maildir-rank-addr-doc-1.4.1-r2.apk2026-01-18 09:42 2.3K 
[   ]ruby-coderay-doc-1.1.3-r0.apk2025-05-26 10:56 2.3K 
[   ]ubuntu-archive-keyring-doc-2023.11.28.1-r0.apk2024-10-26 06:11 2.3K 
[   ]yaml-language-server-doc-1.19.2-r0.apk2025-10-10 04:38 2.3K 
[   ]ergo-ldap-doc-0.0.1-r23.apk2026-01-18 09:42 2.3K 
[   ]spacectl-doc-1.12.0-r7.apk2026-01-18 09:42 2.3K 
[   ]dnscontrol-doc-4.32.0-r0.apk2026-01-26 05:41 2.3K 
[   ]rio-doc-0.2.35-r0.apk2025-11-17 10:39 2.3K 
[   ]eludris-doc-0.3.3-r1.apk2024-10-26 06:09 2.3K 
[   ]tree-sitter-caddy-doc-0_git20230322-r0.apk2024-10-26 06:11 2.3K 
[   ]bootterm-dbg-0.5-r0.apk2024-10-26 06:08 2.3K 
[   ]empede-doc-0.2.3-r0.apk2024-10-26 06:09 2.3K 
[   ]py3-simple-websocket-doc-1.1.0-r0.apk2025-09-07 03:20 2.3K 
[   ]dam-doc-0_git20250728-r0.apk2025-12-23 08:22 2.3K 
[   ]powerstat-bash-completion-0.04.01-r0.apk2024-10-26 06:10 2.3K 
[   ]php81-pecl-imagick-dev-3.8.1-r0.apk2025-11-28 11:05 2.3K 
[   ]php82-pecl-imagick-dev-3.8.1-r0.apk2025-11-28 11:05 2.3K 
[   ]faultstat-bash-completion-0.01.11-r0.apk2024-10-26 06:09 2.3K 
[   ]innernet-openrc-1.6.1-r0.apk2024-10-26 06:09 2.3K 
[   ]vectoroids-doc-1.1.0-r2.apk2024-10-26 06:11 2.3K 
[   ]bgs-doc-0.8-r1.apk2024-10-26 06:08 2.3K 
[   ]ddgr-fish-completion-2.2-r0.apk2024-10-26 06:08 2.3K 
[   ]thelounge-doc-4.4.3-r0.apk2024-10-26 06:11 2.3K 
[   ]dublin-traceroute-doc-0.4.2-r4.apk2024-10-26 06:09 2.3K 
[   ]pnmixer-doc-0.7.2-r4.apk2026-01-18 09:42 2.3K 
[   ]budgie-control-center-bash-completion-1.4.0-r0.apk2025-10-17 04:07 2.3K 
[   ]river-bedload-doc-0.1.1_git20250823-r0.apk2025-09-21 18:18 2.3K 
[   ]httpie-oauth-pyc-1.0.2-r9.apk2024-10-26 06:09 2.3K 
[   ]ruby-appraisal-doc-2.5.0-r0.apk2025-05-26 10:52 2.3K 
[   ]semaphoreui-doc-2.16.37-r2.apk2026-01-18 09:42 2.3K 
[   ]hurl-bash-completion-7.1.0-r0.apk2025-12-06 05:41 2.3K 
[   ]theme.sh-doc-1.1.5-r0.apk2024-10-26 06:11 2.3KUnix shell script
[   ]aws-ecr-get-login-password-doc-1.0.0_rc2-r2.apk2026-01-18 09:42 2.3K 
[   ]ruby-crack-1.0.1-r0.apk2025-10-21 15:14 2.3K 
[   ]libtins-doc-4.5-r2.apk2025-10-15 19:21 2.3K 
[   ]maxima-bash-completion-5.48.1-r9.apk2025-08-22 21:16 2.3K 
[   ]json2tsv-jaq-doc-1.2-r0.apk2024-10-26 06:09 2.3K 
[   ]mpdris2-lang-0.9.1-r3.apk2024-10-26 06:10 2.3K 
[   ]py-spy-bash-completion-0.3.14-r3.apk2024-10-26 06:10 2.3K 
[   ]perl-uri-ws-0.03-r0.apk2025-03-26 22:51 2.3K 
[   ]ifuse-doc-1.1.4-r5.apk2024-10-31 09:44 2.3K 
[   ]py3-sphinx-theme-bootstrap-pyc-0.8.1-r4.apk2024-10-26 06:10 2.3K 
[   ]lutris-doc-0.5.19-r1.apk2025-09-17 05:08 2.3K 
[   ]calibre-pyc-8.16.2-r0.apk2026-01-29 10:43 2.4K 
[   ]exercism-fish-completion-3.2.0-r19.apk2026-01-18 09:42 2.4K 
[   ]victoria-logs-openrc-1.41.1-r1.apk2026-01-18 09:42 2.4K 
[   ]rpicam-apps-doc-1.11.0-r0.apk2026-01-03 00:24 2.4K 
[   ]inlyne-bash-completion-0.5.0-r0.apk2025-12-22 13:56 2.4K 
[   ]dacnis-doc-0.2.1-r0.apk2026-01-28 02:13 2.4K 
[   ]himitsu-totp-doc-0.9-r0.apk2025-09-07 03:20 2.4K 
[   ]gaupol-doc-1.12-r2.apk2024-10-26 06:09 2.4K 
[   ]pongoos-loader-0_git20210704-r1.apk2024-10-26 06:10 2.4K 
[   ]river-shifttags-doc-0.2.1-r1.apk2025-05-14 09:00 2.4K 
[   ]boost1.89-doc-1.89.0-r1.apk2026-01-18 09:42 2.4K 
[   ]qmk-cli-udev-1.2.0-r0.apk2025-11-29 23:10 2.4K 
[   ]ptpd-openrc-2.3.1-r1.apk2024-10-26 06:10 2.4K 
[   ]clipit-doc-1.4.5-r3.apk2024-10-26 06:08 2.4K 
[   ]tealdeer-zsh-completion-1.8.0-r0.apk2025-10-28 13:27 2.4K 
[   ]btfs-doc-2.24-r12.apk2024-10-26 06:08 2.4K 
[   ]openwsman-doc-2.8.1-r1.apk2025-06-30 18:25 2.4K 
[   ]py3-mnemonic-doc-0.21-r0.apk2024-10-26 06:10 2.4K 
[   ]hypridle-doc-0.1.7-r1.apk2025-12-14 21:58 2.4K 
[   ]ovn-openrc-25.09.2-r0.apk2025-12-15 09:05 2.4K 
[   ]rmtfs-doc-1.1.1-r2.apk2026-01-15 15:57 2.4K 
[   ]halp-zsh-completion-0.2.0-r0.apk2024-10-26 06:09 2.4K 
[   ]otrs-bash-completion-6.0.48-r2.apk2024-10-26 06:10 2.4K 
[   ]nim-bcrypt-0.2.1-r0.apk2026-01-25 00:35 2.4K 
[   ]policycoreutils-bash-completion-3.6-r1.apk2024-10-26 06:10 2.4K 
[   ]gliderlabs-sigil-doc-0.11.0-r11.apk2026-01-18 09:42 2.4K 
[   ]somebar-doc-1.0.3-r0.apk2024-10-26 06:10 2.4K 
[   ]wl-screenrec-bash-completion-0.1.7-r1.apk2025-08-28 03:38 2.4K 
[   ]lemmy-localdb-0.19.12-r1.apk2025-10-15 01:31 2.4K 
[   ]rocm-cmake-doc-6.4.3-r0.apk2025-08-18 22:32 2.4K 
[   ]rocm-core-doc-6.4.3-r0.apk2025-08-18 22:32 2.4K 
[   ]hyprsunset-doc-0.3.3-r1.apk2025-12-14 21:58 2.4K 
[   ]xdg-desktop-portal-hyprland-doc-1.3.11-r0.apk2025-12-14 21:58 2.4K 
[   ]apmpkg-zsh-completion-1.5.1-r3.apk2024-10-26 06:08 2.4K 
[   ]ideviceinstaller-doc-1.1.1-r4.apk2024-10-31 09:44 2.5K 
[   ]ansifilter-fish-completion-2.22-r0.apk2026-01-20 04:09 2.5K 
[   ]py3-flask-headers-pyc-1.0-r9.apk2024-10-26 06:10 2.5K 
[   ]kondo-zsh-completion-0.8-r0.apk2024-10-26 06:09 2.5K 
[   ]tailspin-zsh-completion-5.5.0-r0.apk2025-10-28 17:57 2.5K 
[   ]lsdvd-doc-0.17-r0.apk2024-10-26 06:09 2.5K 
[   ]flare-engine-doc-1.14-r1.apk2025-11-05 22:39 2.5K 
[   ]py3-sphinx-theme-epfl-pyc-1.1.1-r9.apk2024-10-26 06:10 2.5K 
[   ]beebzzr-doc-0_git20251214-r0.apk2026-01-18 09:42 2.5K 
[   ]beard-doc-0.4-r0.apk2024-10-26 06:08 2.5K 
[   ]frescobaldi-doc-3.3.0-r1.apk2024-10-26 06:09 2.5K 
[   ]bwrap-oci-doc-0.2-r1.apk2024-10-26 06:08 2.5K 
[   ]pd-mapper-doc-1.1-r0.apk2026-01-13 11:01 2.5K 
[   ]ghq-zsh-completion-1.8.0-r7.apk2026-01-18 09:42 2.5K 
[   ]mktorrent-borg-doc-0.9.9-r1.apk2024-10-26 06:10 2.5K 
[   ]freshrss-openrc-1.28.0-r0.apk2026-01-02 12:39 2.5K 
[   ]inlyne-fish-completion-0.5.0-r0.apk2025-12-22 13:56 2.5K 
[   ]ouch-bash-completion-0.6.1-r0.apk2025-05-28 18:37 2.5K 
[   ]xfce4-mixer-doc-4.18.1-r2.apk2024-10-26 06:11 2.5K 
[   ]xlhtml-doc-0.5.1-r0.apk2024-10-26 06:11 2.5K 
[   ]perl-plack-middleware-removeredundantbody-0.09-r0.apk2024-12-28 01:02 2.5K 
[   ]tcmu-runner-doc-1.6.0-r6.apk2024-10-26 06:10 2.5K 
[   ]tup-vim-0.7.11-r1.apk2025-06-19 19:44 2.5K 
[   ]pully-1.0.0-r0.apk2024-10-26 06:10 2.5K 
[   ]py3-eradicate-doc-2.3.0-r2.apk2024-10-26 06:10 2.5K 
[   ]stw-doc-0.3-r0.apk2024-10-26 06:10 2.5K 
[   ]gradia-dev-1.11.1-r0.apk2026-01-08 13:33 2.5K 
[   ]libcotp-dev-3.1.1-r0.apk2025-10-04 18:13 2.5K 
[   ]flann-doc-1.9.2-r1.apk2025-02-18 02:07 2.5K 
[   ]ansifilter-zsh-completion-2.22-r0.apk2026-01-20 04:09 2.5K 
[   ]xendmail-doc-0.4.4-r0.apk2025-08-06 19:51 2.5K 
[   ]xgalaga-doc-2.1.1.0-r1.apk2024-10-26 06:11 2.5K 
[   ]ghq-fish-completion-1.8.0-r7.apk2026-01-18 09:42 2.5K 
[   ]ty-bash-completion-0.0.4-r0.apk2025-12-22 05:51 2.6K 
[   ]hyfetch-zsh-completion-2.0.5-r0.apk2025-11-22 09:33 2.6K 
[   ]py-spy-fish-completion-0.3.14-r3.apk2024-10-26 06:10 2.6K 
[   ]nicotine-plus-doc-3.3.10-r0.apk2025-05-04 07:03 2.6K 
[   ]ol-doc-2.6-r0.apk2025-05-04 07:03 2.6K 
[   ]fuseiso-doc-20070708-r0.apk2026-01-02 06:37 2.6K 
[   ]perl-class-unload-0.11-r0.apk2025-06-05 05:18 2.6K 
[   ]py3-flake8-blind-except-pyc-0.2.1-r4.apk2024-10-26 06:10 2.6K 
[   ]scooper-doc-1.3-r1.apk2024-10-26 06:10 2.6K 
[   ]py3-bottle-request-pyc-0.2.0-r9.apk2024-10-26 06:10 2.6K 
[   ]sentinel-minipot-openrc-2.3.0-r1.apk2024-10-26 06:10 2.6K 
[   ]xcompmgr-doc-1.1.10-r0.apk2025-06-28 22:24 2.6K 
[   ]perl-tie-toobject-0.03-r0.apk2025-03-26 22:51 2.6K 
[   ]py3-igraph-dev-1.0.0-r0.apk2025-10-28 13:27 2.6K 
[   ]faust-vim-2.79.3-r0.apk2025-06-08 04:01 2.6K 
[   ]py3-modern_colorthief-pyc-0.1.7-r0.apk2025-06-17 05:00 2.6K 
[   ]nvim-cmp-lsp-doc-0.0.0_git20220516-r1.apk2024-10-26 06:10 2.6K 
[   ]py3-unidns-examples-0.0.4-r0.apk2025-11-03 17:49 2.6K 
[   ]trantor-doc-1.5.18-r0.apk2024-10-26 06:11 2.6K 
[   ]xsoldier-doc-1.8-r2.apk2024-10-26 06:11 2.6K 
[   ]lxappearance-doc-0.6.3-r4.apk2026-01-15 02:24 2.6K 
[   ]keydb-openrc-6.3.4-r0.apk2024-10-26 06:09 2.6K 
[   ]py3-print-color-doc-0.4.6-r0.apk2024-10-26 06:10 2.6K 
[   ]py3-visitor-pyc-0.1.3-r7.apk2024-10-26 06:10 2.6K 
[   ]linux-gpib-udev-4.3.7-r0.apk2025-12-07 10:07 2.6K 
[   ]nsnake-doc-3.0.0-r0.apk2024-10-26 06:10 2.6K 
[   ]py3-luhn-pyc-0.2.0-r9.apk2024-10-26 06:10 2.6K 
[   ]prosody-mod-webpresence-0.11_hg20201208-r0.apk2024-10-26 06:10 2.6K 
[   ]prosody-mod-auth_sql-0.11_hg20201208-r0.apk2024-10-26 06:10 2.6K 
[   ]sxcs-doc-1.1.0-r0.apk2024-10-26 06:10 2.6K 
[   ]mame-common-0.251-r0.apk2024-10-26 06:10 2.7K 
[   ]vscodium-zsh-completion-1.106.37943-r0.apk2025-12-22 06:09 2.7K 
[   ]prosody-mod-vcard_muc-0.11_hg20201208-r0.apk2024-10-26 06:10 2.7K 
[   ]wch-isp-doc-0.4.1-r2.apk2024-10-26 06:11 2.7K 
[   ]lomiri-terminal-app-doc-2.0.5-r0.apk2025-05-10 04:27 2.7K 
[   ]reap-doc-0.2-r0.apk2025-09-14 01:13 2.7K 
[   ]arahli-doc-0.1.5-r0.apk2026-01-28 02:10 2.7K 
[   ]code-oss-zsh-completion-1.105.1-r1.apk2025-11-11 06:20 2.7K 
[   ]colormake-doc-0.9.20170221-r0.apk2024-10-26 06:08 2.7K 
[   ]perl-catalyst-manual-5.9013-r0.apk2025-04-04 16:22 2.7K 
[   ]porla-openrc-0.41.0-r2.apk2025-02-25 02:36 2.7K 
[   ]ddgr-zsh-completion-2.2-r0.apk2024-10-26 06:08 2.7K 
[   ]kmscon-systemd-9.2.1-r0.apk2026-01-10 03:02 2.7K 
[   ]libnih-doc-1.0.3-r7.apk2024-10-26 06:09 2.7K 
[   ]prosody-mod-register_redirect-0.11_hg20201208-r0.apk2024-10-26 06:10 2.7K 
[   ]perl-template-plugin-csv-0.04-r3.apk2024-10-26 06:10 2.7K 
[   ]ip2location-doc-8.6.1-r0.apk2024-10-26 06:09 2.7K 
[   ]quodlibet-zsh-completion-4.7.1-r0.apk2025-09-17 05:53 2.7K 
[   ]inlyne-zsh-completion-0.5.0-r0.apk2025-12-22 13:56 2.7K 
[   ]perl-catalyst-component-instancepercontext-0.001001-r1.apk2025-06-19 21:55 2.7K 
[   ]h4h5tools-doc-2.2.5-r4.apk2024-10-26 06:09 2.7K 
[   ]perl-net-curl-promiser-anyevent-0.20-r0.apk2024-10-26 06:10 2.7K 
[   ]pomo-doc-0.8.1-r29.apk2026-01-18 09:42 2.7K 
[   ]pounce-openrc-3.1-r4.apk2025-09-13 12:00 2.7K 
[   ]rsstail-doc-2.2-r0.apk2025-02-13 18:17 2.8K 
[   ]barnyard2-openrc-2.1.14_git20160413-r1.apk2024-10-26 06:08 2.8K 
[   ]py3-utc-pyc-0.0.3-r9.apk2024-10-26 06:10 2.8K 
[   ]timew-bash-completion-1.4.3-r1.apk2024-10-26 06:11 2.8K 
[   ]alacritty-graphics-bash-completion-0.16.1-r0.apk2025-12-18 11:02 2.8K 
[   ]lfm-doc-3.1-r4.apk2024-10-26 06:09 2.8K 
[   ]mint-x-theme-2.3.7-r1.apk2026-01-25 01:18 2.8K 
[   ]perl-data-binary-0.01-r0.apk2025-07-12 17:30 2.8K 
[   ]prosody-mod-server_status-0.11_hg20201208-r0.apk2024-10-26 06:10 2.8K 
[   ]kirc-doc-0.3.3-r0.apk2025-02-23 03:07 2.8K 
[   ]mml-zsh-completion-1.0.0-r1.apk2025-09-30 11:41 2.8K 
[   ]gatling-openrc-0.16-r6.apk2024-10-26 06:09 2.8K 
[   ]dfu-programmer-bash-completion-1.1.0-r0.apk2024-10-26 06:08 2.8K 
[   ]nb-fish-completion-7.24.0-r0.apk2026-01-22 04:04 2.8K 
[   ]py3-webrtcvad-pyc-2.0.10-r1.apk2024-10-26 06:10 2.8K 
[   ]py3-pytap2-doc-2.3.0-r0.apk2024-10-26 06:10 2.8K 
[   ]perl-moosex-relatedclassroles-0.004-r0.apk2025-05-04 07:03 2.8K 
[   ]py3-pytest-home-pyc-0.6.0-r0.apk2024-10-26 06:10 2.8K 
[   ]mangr0ve-0.1.2-r0.apk2024-10-26 06:10 2.8K 
[   ]chim-doc-1.1.2-r1.apk2024-10-26 06:08 2.8K 
[   ]perl-plack-test-externalserver-0.02-r0.apk2025-03-26 22:51 2.8K 
[   ]prosody-mod-auth_ldap-0.11_hg20201208-r0.apk2024-10-26 06:10 2.8K 
[   ]libabigail-bash-completion-2.8-r0.apk2025-11-03 06:50 2.8K 
[   ]py3-flask-gzip-pyc-0.2-r8.apk2024-10-26 06:10 2.8K 
[   ]tremc-doc-0.9.4-r0.apk2025-07-16 19:48 2.8K 
[   ]prosody-mod-host_guard-0.11_hg20201208-r0.apk2024-10-26 06:10 2.8K 
[   ]logtop-doc-0.7-r1.apk2025-08-10 12:01 2.8K 
[   ]cproc-doc-0_git20240427-r1.apk2024-11-04 08:51 2.8K 
[   ]py3-timeago-doc-1.0.16-r0.apk2024-10-26 06:10 2.9K 
[   ]66-tools-nsrules-0.1.2.0-r0.apk2025-06-02 02:50 2.9K 
[   ]coccinelle-bash-completion-1.1.1-r2.apk2024-10-26 06:08 2.9K 
[   ]zsh-manydots-magic-0_git20230607-r1.apk2024-10-26 06:11 2.9K 
[   ]dublin-traceroute-contrib-0.4.2-r4.apk2024-10-26 06:09 2.9K 
[   ]py3-pytaglib-pyc-3.0.0-r0.apk2025-01-27 06:30 2.9K 
[   ]sacc-doc-1.07-r1.apk2025-09-13 12:00 2.9K 
[   ]generate-kernel-cmdline-doc-1.0-r0.apk2026-01-23 10:37 2.9K 
[   ]git-extras-bash-completion-7.4.0-r0.apk2025-07-23 15:04 2.9K 
[   ]proverif-emacs-2.05-r1.apk2026-01-12 09:39 2.9K 
[   ]lomiri-location-service-doc-3.3.0-r6.apk2026-01-16 05:17 2.9K 
[   ]pxmenu-1.0.0-r1.apk2024-10-26 06:10 2.9K 
[   ]desed-doc-1.2.1-r1.apk2024-10-26 06:08 2.9K 
[   ]pxalarm-3.0.0-r0.apk2024-10-26 06:10 2.9K 
[   ]mpdcron-zsh-completion-0.3-r1.apk2024-10-26 06:10 2.9K 
[   ]pamtester-doc-0.1.2-r4.apk2024-10-26 06:10 2.9K 
[   ]prosody-mod-http_upload_external-0.11_hg20201208-r0.apk2024-10-26 06:10 2.9K 
[   ]createrepo_c-bash-completion-1.1.4-r1.apk2025-12-31 07:57 2.9K 
[   ]steamguard-cli-bash-completion-0.17.1-r0.apk2025-07-12 17:31 2.9K 
[   ]nkk-dev-0_git20221010-r0.apk2024-10-26 06:10 2.9K 
[   ]noggin-doc-0.1-r24.apk2026-01-18 09:42 2.9K 
[   ]restic.mk-0.4.0-r0.apk2024-10-26 06:10 2.9K 
[   ]rankwidth-doc-0.9-r4.apk2025-10-28 13:27 2.9K 
[   ]vcsh-bash-completion-2.0.5-r0.apk2024-10-26 06:11 2.9K 
[   ]libvisio2svg-dev-0.5.5-r3.apk2024-10-26 06:09 2.9K 
[   ]rankwidth-dev-0.9-r4.apk2025-10-28 13:27 2.9K 
[   ]vcsh-zsh-completion-2.0.5-r0.apk2024-10-26 06:11 2.9K 
[   ]gummiboot-doc-48.1-r11.apk2025-07-23 15:04 2.9K 
[   ]remake-dev-1.5-r1.apk2024-10-26 06:10 2.9K 
[   ]wl-clipboard-x11-doc-5-r3.apk2024-10-26 06:11 2.9K 
[   ]aggregate6-doc-1.0.14-r0.apk2025-10-14 22:05 2.9K 
[   ]nb-zsh-completion-7.24.0-r0.apk2026-01-22 04:04 2.9K 
[   ]apulse-doc-0.1.14-r0.apk2025-09-07 03:20 2.9K 
[   ]perl-task-catalyst-4.02-r0.apk2025-06-15 15:46 3.0K 
[   ]rke-doc-1.4.3-r21.apk2026-01-18 09:42 3.0K 
[   ]limkd-doc-0.1.2-r0.apk2024-10-26 06:09 3.0K 
[   ]lynis-bash-completion-3.1.4-r0.apk2025-07-29 19:08 3.0K 
[   ]lowjs-doc-1.6.2-r2.apk2024-10-26 06:09 3.0K 
[   ]gtklock-doc-4.0.0-r0.apk2025-02-02 03:09 3.0K 
[   ]isomd5sum-doc-1.2.5-r0.apk2025-07-15 19:02 3.0K 
[   ]perl-net-curl-promiser-ioasync-0.20-r0.apk2024-10-26 06:10 3.0K 
[   ]perl-regexp-trie-0.02-r0.apk2025-07-12 18:22 3.0K 
[   ]nb-bash-completion-7.24.0-r0.apk2026-01-22 04:04 3.0K 
[   ]p910nd-doc-0.97-r2.apk2024-10-26 06:10 3.0K 
[   ]hatop-doc-0.8.2-r0.apk2024-10-26 06:09 3.0K 
[   ]pokoy-doc-0.2.5-r0.apk2024-10-26 06:10 3.0K 
[   ]bchunk-doc-1.2.2-r3.apk2024-10-26 06:08 3.0K 
[   ]tailspin-doc-5.5.0-r0.apk2025-10-28 17:57 3.0K 
[   ]hardinfo2-doc-2.2.13-r0.apk2025-09-28 22:21 3.0K 
[   ]libctl-doc-4.5.1-r1.apk2024-10-26 06:09 3.0K 
[   ]geonames-dev-0.3.1-r2.apk2024-10-26 06:09 3.0K 
[   ]sfizz-doc-1.2.3-r1.apk2025-10-03 01:07 3.0K 
[   ]opendht-doc-3.1.11-r0.apk2025-01-28 07:56 3.0K 
[   ]satellite-doc-1.0.0-r34.apk2026-01-18 09:42 3.0K 
[   ]py-spy-zsh-completion-0.3.14-r3.apk2024-10-26 06:10 3.0K 
[   ]perl-lingua-stem-snowball-da-doc-1.01-r0.apk2025-06-09 03:02 3.0K 
[   ]way-secure-doc-0.2.0-r0.apk2025-04-19 09:10 3.0K 
[   ]perl-template-plugin-csv-doc-0.04-r3.apk2024-10-26 06:10 3.0K 
[   ]ouch-fish-completion-0.6.1-r0.apk2025-05-28 18:37 3.0K 
[   ]perl-text-german-doc-0.06-r0.apk2025-06-07 13:00 3.0K 
[   ]faultstat-doc-0.01.11-r0.apk2024-10-26 06:09 3.0K 
[   ]llmnrd-doc-0.7-r1.apk2024-10-26 06:09 3.0K 
[   ]hwatch-doc-0.3.11-r0.apk2024-10-26 06:09 3.0K 
[   ]py3-compdb-doc-0.2.0-r8.apk2024-10-26 06:10 3.0K 
[   ]qgis-doc-3.44.5-r0.apk2025-12-05 05:50 3.0K 
[   ]perl-moosex-types-stringlike-0.003-r0.apk2025-03-26 22:51 3.0K 
[   ]perl-dbix-class-cursor-cached-doc-1.001004-r0.apk2025-06-10 03:31 3.0K 
[   ]apmpkg-doc-1.5.1-r3.apk2024-10-26 06:08 3.1K 
[   ]perl-plack-middleware-reverseproxy-doc-0.16-r2.apk2024-10-26 06:10 3.1K 
[   ]py3-cchardet-pyc-2.1.7-r5.apk2024-10-26 06:10 3.1K 
[   ]perl-lingua-en-number-isordinal-0.05-r0.apk2025-06-09 03:02 3.1K 
[   ]mkg3a-doc-0.5.0-r1.apk2024-10-26 06:10 3.1K 
[   ]boost1.89-date_time-1.89.0-r1.apk2026-01-18 09:42 3.1K 
[   ]sedutil-doc-1.15.1-r1.apk2024-10-26 06:10 3.1K 
[   ]perl-plack-middleware-fixmissingbodyinredirect-doc-0.12-r0.apk2024-12-26 20:36 3.1K 
[   ]perl-class-unload-doc-0.11-r0.apk2025-06-05 05:18 3.1K 
[   ]py3-log-symbols-pyc-0.0.14-r5.apk2024-10-26 06:10 3.1K 
[   ]perl-linux-pid-doc-0.04-r15.apk2025-06-30 18:25 3.1K 
[   ]snore-doc-0.3.1-r0.apk2024-10-26 06:10 3.1K 
[   ]alacritty-graphics-fish-completion-0.16.1-r0.apk2025-12-18 11:02 3.1K 
[   ]csmith-doc-2.3.0-r2.apk2024-10-26 06:08 3.1K 
[   ]perl-catalystx-profile-0.02-r0.apk2025-06-15 15:46 3.1K 
[   ]ruby-event_emitter-0.2.6-r0.apk2025-05-26 11:00 3.1K 
[   ]vkbasalt-doc-0.3.2.10-r0.apk2024-10-26 06:11 3.1K 
[   ]xcape-doc-1.2-r1.apk2025-05-15 05:17 3.1K 
[   ]perl-plack-middleware-removeredundantbody-doc-0.09-r0.apk2024-12-28 01:02 3.1K 
[   ]perl-plack-middleware-fixmissingbodyinredirect-0.12-r0.apk2024-12-26 20:36 3.1K 
[   ]wf-shell-doc-0.9.0-r0.apk2025-02-28 07:17 3.1K 
[   ]perl-data-binary-doc-0.01-r0.apk2025-07-12 17:30 3.1K 
[   ]luksmeta-dev-9-r0.apk2024-10-26 06:09 3.1K 
[   ]rivercarro-doc-0.6.0-r0.apk2025-10-10 04:38 3.1K 
[   ]usb-moded-notify-0.3.0-r0.apk2025-12-29 05:16 3.1K 
[   ]prosody-mod-bookmarks-0.11_hg20201208-r0.apk2024-10-26 06:10 3.1K 
[   ]memdump-doc-1.01-r1.apk2024-10-26 06:10 3.1K 
[   ]rpi-imager-doc-1.9.0-r1.apk2025-07-24 19:08 3.1K 
[   ]perl-perlio-locale-doc-0.10-r13.apk2025-06-30 18:25 3.1K 
[   ]perl-net-curl-promiser-mojo-0.20-r0.apk2024-10-26 06:10 3.1K 
[   ]tty-clock-doc-2.3_git20240104-r0.apk2024-10-26 06:11 3.1K 
[   ]dwl-doc-0.7-r0.apk2024-10-26 06:09 3.1K 
[   ]ouch-zsh-completion-0.6.1-r0.apk2025-05-28 18:37 3.1K 
[   ]perl-plack-test-externalserver-doc-0.02-r0.apk2025-03-26 22:51 3.1K 
[   ]py3-bottle-redis-pyc-0.2.3-r6.apk2024-10-26 06:10 3.1K 
[   ]update-sysfs-2.1.1_p7-r0.apk2025-11-12 21:44 3.1K 
[   ]ptouch-print-doc-1.7-r0.apk2025-09-14 01:13 3.1K 
[   ]harminv-dev-1.4.2-r1.apk2024-10-26 06:09 3.1K 
[   ]perl-hash-merge-extra-0.06-r0.apk2025-06-21 02:18 3.1K 
[   ]py3-bottle-websocket-pyc-0.2.9-r8.apk2024-10-26 06:10 3.1K 
[   ]beard-0.4-r0.apk2024-10-26 06:08 3.1K 
[   ]laze-bash-completion-0.1.38-r0.apk2025-07-23 15:04 3.1K 
[   ]makedumpfile-openrc-1.7.8-r0.apk2025-11-06 01:24 3.1K 
[   ]py3-sphinxcontrib-manpage-pyc-0.6-r8.apk2024-10-26 06:10 3.1K 
[   ]ptylie-doc-0.2-r2.apk2025-05-14 09:00 3.2K 
[   ]timeshift-doc-25.12.4-r0.apk2026-01-10 03:02 3.2K 
[   ]caffeine-ng-doc-4.2.0-r1.apk2024-10-26 06:08 3.2K 
[   ]perl-plack-middleware-reverseproxy-0.16-r2.apk2024-10-26 06:10 3.2K 
[   ]perl-moox-typetiny-doc-0.002003-r0.apk2025-06-09 23:05 3.2K 
[   ]cocogitto-bash-completion-6.5.0-r0.apk2025-11-03 05:21 3.2K 
[   ]cocogitto-zsh-completion-6.5.0-r0.apk2025-11-03 05:21 3.2K 
[   ]lxappearance-dev-0.6.3-r4.apk2026-01-15 02:24 3.2K 
[   ]perl-tie-toobject-doc-0.03-r0.apk2025-03-26 22:51 3.2K 
[   ]jalv-doc-1.6.8-r1.apk2024-10-26 06:09 3.2K 
[   ]today-6.2.1-r0.apk2025-06-18 02:29 3.2K 
[   ]py3-flask-gzip-0.2-r8.apk2024-10-26 06:10 3.2K 
[   ]cpufetch-doc-1.07-r0.apk2025-11-01 21:01 3.2K 
[   ]trippy-bash-completion-0.13.0-r0.apk2025-05-19 10:20 3.2K 
[   ]ty-fish-completion-0.0.4-r0.apk2025-12-22 05:51 3.2K 
[   ]perl-string-camelcase-0.04-r2.apk2024-10-26 06:10 3.2K 
[   ]dprint-doc-0.49.1-r0.apk2025-04-14 09:35 3.2K 
[   ]glow-doc-2.1.1-r6.apk2026-01-18 09:42 3.2K 
[   ]libdng-dev-0.2.1-r0.apk2024-12-28 09:09 3.2K 
[   ]persistent-cache-cpp-doc-1.0.9-r0.apk2025-09-08 12:00 3.2K 
[   ]witchery-0.0.3-r2.apk2024-10-26 06:11 3.2K 
[   ]perl-lingua-en-inflect-number-1.12-r0.apk2025-06-09 03:02 3.2K 
[   ]nuzzle-doc-1.6-r0.apk2025-01-26 17:27 3.2K 
[   ]xload-doc-1.1.4-r0.apk2024-10-26 06:11 3.2K 
[   ]perl-math-libm-doc-1.00-r15.apk2025-06-30 18:25 3.2K 
[   ]py3-flask-headers-1.0-r9.apk2024-10-26 06:10 3.2K 
[   ]powerctl-doc-1.1-r6.apk2025-04-19 03:34 3.2K 
[   ]py3-bottle-request-0.2.0-r9.apk2024-10-26 06:10 3.2K 
[   ]openocd-esp32-doc-0_git20250707-r2.apk2025-10-21 07:14 3.2K 
[   ]openocd-git-doc-0_git20251018-r1.apk2025-10-21 07:14 3.2K 
[   ]perl-dbix-class-cursor-cached-1.001004-r0.apk2025-06-10 03:31 3.2K 
[   ]wl-screenrec-fish-completion-0.1.7-r1.apk2025-08-28 03:38 3.2K 
[   ]perl-moosex-types-loadableclass-0.016-r0.apk2025-05-04 07:03 3.2K 
[   ]tonutils-reverse-proxy-doc-0.4.6-r5.apk2026-01-18 09:42 3.2K 
[   ]kbs2-bash-completion-0.7.3-r0.apk2025-07-30 18:28 3.2K 
[   ]dprint-bash-completion-0.49.1-r0.apk2025-04-14 09:35 3.2K 
[   ]pgqd-doc-3.5-r0.apk2026-01-29 04:46 3.2K 
[   ]tmpmail-doc-1.2.3-r2.apk2024-10-26 06:11 3.2K 
[   ]perl-module-extract-version-1.119-r0.apk2025-09-07 03:20 3.3K 
[   ]perl-flowd-doc-0.9.1-r11.apk2025-06-30 18:25 3.3K 
[   ]perl-lingua-en-findnumber-1.32-r0.apk2025-06-09 03:02 3.3K 
[   ]oniux-doc-0.7.0-r0.apk2026-01-15 12:06 3.3K 
[   ]py3-hurry.filesize-pyc-0.9-r8.apk2024-10-26 06:10 3.3K 
[   ]zita-resampler-dev-1.11.2-r0.apk2025-04-15 08:16 3.3K 
[   ]linuxwave-doc-0.3.0-r0.apk2025-05-27 05:36 3.3K 
[   ]prosody-mod-conversejs-0.11_hg20201208-r0.apk2024-10-26 06:10 3.3K 
[   ]py3-allfiles-pyc-1.0-r8.apk2024-10-26 06:10 3.3K 
[   ]openocd-riscv-udev-rules-0_git20230104-r2.apk2024-10-26 06:10 3.3K 
[   ]greetd-mini-wl-greeter-doc-0_git20230821-r0.apk2024-10-26 06:09 3.3K 
[   ]initify-0_git20171210-r1.apk2024-10-26 06:09 3.3K 
[   ]fastd-doc-23-r0.apk2025-01-28 08:33 3.3K 
[   ]sentrypeer-doc-4.0.4-r0.apk2025-03-26 22:51 3.3K 
[   ]treecat-doc-1.0.2_git20240706-r1.apk2024-11-29 23:12 3.3K 
[   ]openocd-riscv-doc-0_git20230104-r2.apk2024-10-26 06:10 3.3K 
[   ]perl-catalyst-component-instancepercontext-doc-0.001001-r1.apk2025-06-19 21:55 3.3K 
[   ]wlclock-doc-1.0.1-r0.apk2024-10-26 06:11 3.3K 
[   ]capsudo-openrc-0.1.3-r0.apk2026-01-25 21:16 3.3K 
[   ]prjtrellis-db-0_git20230929-r0.apk2024-10-26 06:10 3.3K 
[   ]py3-bottle-redis-0.2.3-r6.apk2024-10-26 06:10 3.3K 
[   ]perl-catalystx-repl-0.04-r0.apk2025-05-04 07:03 3.3K 
[   ]sqlar-doc-0_git20180107-r1.apk2024-10-26 06:10 3.3K 
[   ]hub-fish-completion-2.14.2-r37.apk2026-01-18 09:42 3.3K 
[   ]py3-flask-components-pyc-0.1.1-r9.apk2024-10-26 06:10 3.3K 
[   ]click-doc-0.5.2-r4.apk2025-02-18 02:07 3.3K 
[   ]today-doc-6.2.1-r0.apk2025-06-18 02:29 3.3K 
[   ]perl-regexp-trie-doc-0.02-r0.apk2025-07-12 18:22 3.3K 
[   ]lomiri-url-dispatcher-dev-0.1.4-r0.apk2025-05-10 04:27 3.3K 
[   ]libideviceactivation-dev-1.1.1-r5.apk2024-10-31 09:44 3.3K 
[   ]mergerfs-doc-2.41.1-r0.apk2025-12-14 03:10 3.3K 
[   ]fatrace-doc-0.18.0-r0.apk2025-07-28 06:28 3.3K 
[   ]nvim-cmp-cmdline-0.0.0_git20220902-r1.apk2024-10-26 06:10 3.3K 
[   ]ccze-dev-0.2.1-r1.apk2024-10-26 06:08 3.3K 
[   ]pick-doc-4.0.0-r0.apk2024-10-26 06:10 3.3K 
[   ]cpuburn-1.4a_git20160316-r2.apk2024-10-26 06:08 3.3K 
[   ]hyfetch-bash-completion-2.0.5-r0.apk2025-11-22 09:33 3.3K 
[   ]netscanner-doc-0.5.1-r1.apk2024-10-26 06:10 3.3K 
[   ]py3-anyascii-pyc-0.3.2-r1.apk2024-10-26 06:10 3.3K 
[   ]perl-array-diff-0.09-r0.apk2025-07-12 17:30 3.3K 
[   ]octoprint-creality2xfix-pyc-0.0.4-r2.apk2024-10-26 06:10 3.3K 
[   ]pasystray-doc-0.8.2-r0.apk2024-10-26 06:10 3.3K 
[   ]py3-flake8-copyright-pyc-0.2.4-r3.apk2024-10-26 06:10 3.3K 
[   ]py3-sphinxcontrib-gist-pyc-0.1.0-r9.apk2024-10-26 06:10 3.3K 
[   ]zelbar-doc-1.2.0-r0.apk2026-01-25 00:43 3.3K 
[   ]compiz-utils-0.9.14.2-r13.apk2025-11-29 11:01 3.3K 
[   ]ssss-doc-0.5.7-r0.apk2024-10-26 06:10 3.3K 
[   ]perl-moosex-relatedclassroles-doc-0.004-r0.apk2025-05-04 07:03 3.3K 
[   ]budgie-screensaver-doc-5.1.0-r0.apk2025-10-17 04:07 3.3K 
[   ]openocd-git-dev-0_git20251018-r1.apk2025-10-21 07:14 3.3K 
[   ]perl-plack-middleware-expires-doc-0.06-r3.apk2024-10-26 06:10 3.3K 
[   ]py3-piper-phonemize-pyc-2023.11.14.4-r9.apk2025-07-13 03:01 3.3K 
[   ]solarus-engine-doc-1.7.0-r2.apk2025-07-23 15:04 3.3K 
[   ]perl-devel-leak-doc-0.03-r14.apk2025-06-30 18:25 3.3K 
[   ]trace-cmd-bash-completion-3.3.1-r1.apk2025-01-26 17:27 3.4K 
[   ]noice-doc-0.8-r1.apk2024-10-26 06:10 3.4K 
[   ]rezolus-doc-2.11.1-r3.apk2024-10-26 06:10 3.4K 
[   ]perl-devel-trace-0.12-r0.apk2025-07-07 06:08 3.4K 
[   ]httpie-oauth-1.0.2-r9.apk2024-10-26 06:09 3.4K 
[   ]perl-hash-merge-extra-doc-0.06-r0.apk2025-06-21 02:18 3.4K 
[   ]snapper-bash-completion-0.13.0-r0.apk2025-09-17 05:45 3.4K 
[   ]alacritty-graphics-zsh-completion-0.16.1-r0.apk2025-12-18 11:02 3.4K 
[   ]perl-catalystx-leakchecker-0.06-r0.apk2025-06-15 15:46 3.4K 
[   ]lua-fn-0.1.0-r0.apk2024-10-26 06:09 3.4K 
[   ]switcheroo-control-udev-3.0-r0.apk2026-01-29 08:26 3.4K 
[   ]py3-ovos-ocp-m3u-plugin-pyc-0.0.2-r0.apk2024-10-26 06:10 3.4K 
[   ]pixiewps-doc-1.4.2-r2.apk2025-05-14 09:00 3.4K 
[   ]honeybee-doc-0.2.0-r1.apk2025-07-09 10:15 3.4K 
[   ]rio-terminfo-0.2.35-r0.apk2025-11-17 10:39 3.4K 
[   ]idevicerestore-doc-1.0.0_git20250914-r0.apk2025-10-10 04:38 3.4K 
[   ]wl-clipboard-x11-5-r3.apk2024-10-26 06:11 3.4K 
[   ]perl-catalystx-injectcomponent-0.025-r0.apk2025-05-04 07:03 3.4K 
[   ]py3-aesedb-examples-0.1.8-r0.apk2025-11-03 17:49 3.4K 
[   ]perl-devel-stacktrace-withlexicals-doc-2.01-r0.apk2025-05-04 07:03 3.4K 
[   ]aptdec-dev-1.8.0-r1.apk2025-02-09 10:46 3.4K 
[   ]perl-template-timer-1.00-r0.apk2025-04-14 07:28 3.4K 
[   ]py3-flask-json-schema-pyc-0.0.5-r4.apk2024-10-26 06:10 3.4K 
[   ]laze-fish-completion-0.1.38-r0.apk2025-07-23 15:04 3.4K 
[   ]kbs2-fish-completion-0.7.3-r0.apk2025-07-30 18:28 3.4K 
[   ]perl-lingua-en-number-isordinal-doc-0.05-r0.apk2025-06-09 03:02 3.4K 
[   ]perl-text-simpletable-doc-2.07-r0.apk2025-03-26 22:51 3.5K 
[   ]stgit-vim-2.4.7-r1.apk2024-10-26 06:10 3.5K 
[   ]doasedit-1.0.9-r0.apk2025-11-01 00:29 3.5K 
[   ]perl-module-extract-version-doc-1.119-r0.apk2025-09-07 03:20 3.5K 
[   ]libuninameslist-dev-20230916-r0.apk2024-10-26 06:09 3.5K 
[   ]perl-string-camelcase-doc-0.04-r2.apk2024-10-26 06:10 3.5K 
[   ]shadowsocks-libev-dev-3.3.5-r4.apk2024-10-26 06:10 3.5K 
[   ]perl-test-expect-0.34-r0.apk2025-05-04 07:03 3.5K 
[   ]perl-devel-trace-doc-0.12-r0.apk2025-07-07 06:08 3.5K 
[   ]py3-utc-0.0.3-r9.apk2024-10-26 06:10 3.5K 
[   ]git-quick-stats-doc-2.8.0-r0.apk2025-09-11 19:18 3.5K 
[   ]libbloom-dev-2.0-r0.apk2024-10-26 06:09 3.5K 
[   ]nvim-cmp-lsp-0.0.0_git20220516-r1.apk2024-10-26 06:10 3.5K 
[   ]perl-lingua-en-findnumber-doc-1.32-r0.apk2025-06-09 03:02 3.5K 
[   ]py3-sphinxcontrib-fulltoc-pyc-1.2.0-r8.apk2024-10-26 06:10 3.5K 
[   ]copyq-doc-13.0.0-r1.apk2025-12-05 05:49 3.5K 
[   ]twinkle-doc-1.10.3-r3.apk2025-01-05 08:55 3.5K 
[   ]ty-zsh-completion-0.0.4-r0.apk2025-12-22 05:51 3.5K 
[   ]kpatch-doc-0.9.11-r1.apk2025-11-18 00:13 3.5K 
[   ]openocd-esp32-udev-0_git20250707-r2.apk2025-10-21 07:14 3.5K 
[   ]tldr-python-client-doc-3.3.0-r0.apk2024-12-02 04:09 3.5K 
[   ]enlighten-doc-0.9.2-r1.apk2024-10-26 06:09 3.5K 
[   ]perl-catalystx-leakchecker-doc-0.06-r0.apk2025-06-15 15:46 3.5K 
[   ]extrace-doc-0.9-r0.apk2024-10-26 06:09 3.5K 
[   ]perl-lingua-en-words2nums-doc-0.18-r0.apk2025-06-08 01:55 3.5K 
[   ]nvim-cmp-luasnip-0.0.0_git20220501-r1.apk2024-10-26 06:10 3.5K 
[   ]rgxg-dev-0.1.2-r2.apk2024-10-26 06:10 3.5K 
[   ]shellinabox-openrc-2.21-r3.apk2024-10-26 06:10 3.5K 
[   ]xiccd-doc-0.4.1-r0.apk2026-01-08 13:33 3.5K 
[   ]nvtop-doc-3.2.0-r0.apk2025-05-04 07:03 3.5K 
[   ]kabmat-doc-2.7.0-r0.apk2024-10-26 06:09 3.5K 
[   ]uclient-dev-20251003-r0.apk2026-01-06 06:39 3.5K 
[   ]perl-lingua-stem-it-doc-0.02-r0.apk2025-06-07 01:57 3.5K 
[   ]mint-y-theme-2.3.7-r1.apk2026-01-25 01:18 3.5K 
[   ]openocd-git-udev-0_git20251018-r1.apk2025-10-21 07:14 3.5K 
[   ]fildesh-vim-0.2.0-r0.apk2024-10-26 06:09 3.5K 
[   ]gsettings-qt-dev-1.1.0-r0.apk2025-12-09 22:10 3.6K 
[   ]perl-moox-typetiny-0.002003-r0.apk2025-06-09 23:05 3.6K 
[   ]perl-lingua-en-inflect-number-doc-1.12-r0.apk2025-06-09 03:02 3.6K 
[   ]snapper-zsh-completion-0.13.0-r0.apk2025-09-17 05:45 3.6K 
[   ]py3-allfiles-1.0-r8.apk2024-10-26 06:10 3.6K 
[   ]ffsend-fish-completion-0.2.76-r4.apk2024-10-26 06:09 3.6K 
[   ]cutechess-doc-1.3.1-r0.apk2024-10-26 06:08 3.6K 
[   ]logc-libevent-0.1.0-r0.apk2024-10-26 06:09 3.6K 
[   ]perl-test-expect-doc-0.34-r0.apk2025-05-04 07:03 3.6K 
[   ]perl-catalystx-injectcomponent-doc-0.025-r0.apk2025-05-04 07:03 3.6K 
[   ]perl-moosex-types-stringlike-doc-0.003-r0.apk2025-03-26 22:51 3.6K 
[   ]ijq-doc-1.2.0-r3.apk2026-01-18 09:42 3.6K 
[   ]py3-flake8-todo-0.7-r7.apk2024-10-26 06:10 3.6K 
[   ]laze-doc-0.1.38-r0.apk2025-07-23 15:04 3.6K 
[   ]pacparser-dev-1.4.5-r1.apk2024-10-26 06:10 3.6K 
[   ]lua-resty-upload-0.11-r0.apk2024-10-26 06:09 3.6K 
[   ]ffsend-bash-completion-0.2.76-r4.apk2024-10-26 06:09 3.6K 
[   ]lua-pkgbuild-1.0.0-r0.apk2026-01-23 11:22 3.6K 
[   ]opkg-utils-doc-0.7.0-r0.apk2024-10-26 06:10 3.6K 
[   ]rattler-build-bash-completion-0.18.0-r0.apk2024-10-26 06:10 3.6K 
[   ]bcg729-dev-1.1.1-r1.apk2025-10-15 19:20 3.6K 
[   ]perl-catalystx-repl-doc-0.04-r0.apk2025-05-04 07:03 3.6K 
[   ]hurl-fish-completion-7.1.0-r0.apk2025-12-06 05:41 3.6K 
[   ]nrf5-sdk-doc-17.1.0-r0.apk2025-08-20 06:54 3.6K 
[   ]perl-bind-config-parser-doc-0.01-r5.apk2024-10-26 06:10 3.6K 
[   ]py3-ecos-pyc-2.0.11-r4.apk2024-10-26 06:10 3.6K 
[   ]perl-plack-middleware-methodoverride-0.20-r0.apk2025-03-26 22:51 3.6K 
[   ]wayfire-doc-0.9.0-r0.apk2025-02-28 07:17 3.6K 
[   ]py3-pydes-doc-2.0.1-r5.apk2024-10-26 06:10 3.6K 
[   ]otpclient-doc-4.1.1-r0.apk2025-07-23 15:04 3.6K 
[   ]perl-catalyst-plugin-session-store-file-0.18-r0.apk2025-06-14 13:46 3.6K 
[   ]wl-screenrec-zsh-completion-0.1.7-r1.apk2025-08-28 03:38 3.7K 
[   ]perl-devel-stacktrace-withlexicals-2.01-r0.apk2025-05-04 07:03 3.7K 
[   ]perl-template-timer-doc-1.00-r0.apk2025-04-14 07:28 3.7K 
[   ]openocd-esp32-dev-0_git20250707-r2.apk2025-10-21 07:14 3.7K 
[   ]pulseview-doc-0.4.2-r8.apk2024-10-26 06:10 3.7K 
[   ]flamelens-doc-0.3.1-r0.apk2025-05-04 07:03 3.7K 
[   ]libjodycode-doc-3.1.1-r0.apk2024-10-26 06:09 3.7K 
[   ]wsmancli-doc-2.8.0-r0.apk2025-07-15 00:34 3.7K 
[   ]wok-doc-3.0.0-r6.apk2024-10-26 06:11 3.7K 
[   ]py3-flake8-snippets-pyc-0.2-r8.apk2024-10-26 06:10 3.7K 
[   ]kew-doc-3.7.3-r0.apk2026-01-08 13:33 3.7K 
[   ]perl-object-signature-1.08-r0.apk2025-04-04 22:56 3.7K 
[   ]captive-browser-doc-0_git20210801-r3.apk2026-01-18 09:42 3.7K 
[   ]laze-zsh-completion-0.1.38-r0.apk2025-07-23 15:04 3.7K 
[   ]perl-task-catalyst-doc-4.02-r0.apk2025-06-15 15:46 3.7K 
[   ]py3-flask-accept-pyc-0.0.7-r0.apk2025-07-13 02:27 3.7K 
[   ]pebble-le-doc-0.3.0-r2.apk2024-12-15 06:38 3.7K 
[   ]py3-maidenhead-doc-1.8.0-r0.apk2025-08-11 08:20 3.7K 
[   ]gnome-mimeapps-0.1-r1.apk2025-08-10 12:01 3.7K 
[   ]perl-archive-any-lite-doc-0.11-r0.apk2025-07-12 17:30 3.7K 
[   ]rdrview-doc-0.1.3-r0.apk2025-02-23 06:23 3.7K 
[   ]dfl-login1-dev-0.3.0-r0.apk2025-08-21 18:47 3.7K 
[   ]toml2json-doc-1.3.2-r0.apk2025-09-02 00:13 3.7K 
[   ]dropwatch-doc-1.5.5-r2.apk2025-12-05 05:49 3.7K 
[   ]hub-zsh-completion-2.14.2-r37.apk2026-01-18 09:42 3.7K 
[   ]sct-2018.12.18-r1.apk2024-10-26 06:10 3.7K 
[   ]prosody-mod-pastebin-0.11_hg20201208-r0.apk2024-10-26 06:10 3.7K 
[   ]kiesel-doc-0_git20260112-r0.apk2026-01-13 10:10 3.7K 
[   ]openocd-riscv-dev-0_git20230104-r2.apk2024-10-26 06:10 3.7K 
[   ]py3-cookiecutter-doc-2.6.0-r1.apk2024-10-26 06:10 3.7K 
[   ]py3-pycolorterm-pyc-0.2.1-r6.apk2024-10-26 06:10 3.7K 
[   ]py3-bottle-renderer-pyc-0.1.1-r9.apk2024-10-26 06:10 3.7K 
[   ]py3-infinity-pyc-1.5-r6.apk2024-10-26 06:10 3.7K 
[   ]rauc-service-1.10.1-r0.apk2024-10-26 06:10 3.7K 
[   ]perl-lingua-stem-ru-doc-0.04-r0.apk2025-06-07 01:57 3.7K 
[   ]perl-moosex-types-loadableclass-doc-0.016-r0.apk2025-05-04 07:03 3.7K 
[   ]pihole-doc-6.2.3-r0.apk2025-06-21 05:05 3.8K 
[   ]perl-date-range-1.41-r0.apk2025-07-09 03:53 3.8K 
[   ]cocogitto-fish-completion-6.5.0-r0.apk2025-11-03 05:21 3.8K 
[   ]volumeicon-lang-0.5.1-r1.apk2024-10-26 06:11 3.8K 
[   ]nvim-cmp-path-0.0.0_git20221002-r1.apk2024-10-26 06:10 3.8K 
[   ]libsds-dev-2.0.0-r1.apk2024-10-26 06:09 3.8K 
[   ]dprint-fish-completion-0.49.1-r0.apk2025-04-14 09:35 3.8K 
[   ]hare-gtk4-layer-shell-0.1.0-r0.apk2025-08-26 04:30 3.8K 
[   ]swappy-lang-1.7.1-r0.apk2025-08-22 10:29 3.8K 
[   ]perl-moosex-simpleconfig-0.11-r0.apk2025-03-26 22:51 3.8K 
[   ]mml-doc-1.0.0-r1.apk2025-09-30 11:41 3.8K 
[   ]pwndbg-doc-2025.10.20-r0.apk2026-01-01 01:55 3.8K 
[   ]finger-doc-0.5-r0.apk2024-10-26 06:09 3.8K 
[   ]nwipe-doc-0.39-r0.apk2025-12-05 13:01 3.8K 
[   ]perl-catalyst-action-renderview-0.17-r0.apk2025-03-26 22:51 3.8K 
[   ]deblob-doc-0.12-r0.apk2025-10-15 09:47 3.8K 
[   ]perl-term-size-doc-0.211-r5.apk2025-06-30 18:25 3.8K 
[   ]tuptime-doc-5.2.4-r2.apk2025-09-07 03:20 3.8K 
[   ]gupnp-doc-1.6.9-r1.apk2025-08-10 12:01 3.8K 
[   ]perl-email-mime-attachment-stripper-doc-1.317-r5.apk2024-10-26 06:10 3.8K 
[   ]swappy-doc-1.7.1-r0.apk2025-08-22 10:29 3.8K 
[   ]ouch-doc-0.6.1-r0.apk2025-05-28 18:37 3.8K 
[   ]hyprpicker-doc-0.4.5-r1.apk2025-12-14 21:58 3.8K 
[   ]splitter-doc-0.4.1-r1.apk2025-07-15 15:09 3.8K 
[   ]innernet-bash-completion-1.6.1-r0.apk2024-10-26 06:09 3.8K 
[   ]perl-memoize-expirelru-doc-0.56-r0.apk2025-06-09 03:02 3.8K 
[   ]csol-doc-1.6.0-r0.apk2024-10-26 06:08 3.8K 
[   ]symlinks-doc-1.4.3-r0.apk2025-05-04 07:03 3.9K 
[   ]foolsm-doc-1.0.21-r0.apk2024-10-26 06:09 3.9K 
[   ]py3-flask-markdown-pyc-0.3-r8.apk2024-10-26 06:10 3.9K 
[   ]perl-snowball-swedish-doc-1.2-r0.apk2025-06-09 03:02 3.9K 
[   ]perl-context-preserve-0.03-r4.apk2024-10-26 06:10 3.9K 
[   ]pwauth-2.3.11-r2.apk2024-10-26 06:10 3.9K 
[   ]mdp-doc-1.0.18-r0.apk2025-07-12 17:30 3.9K 
[   ]perl-bind-config-parser-0.01-r5.apk2024-10-26 06:10 3.9K 
[   ]certbot-dns-pdns-pyc-0.1.1-r1.apk2025-08-28 12:19 3.9K 
[   ]coldbrew-1.0-r0.apk2026-01-08 13:33 3.9K 
[   ]perl-plack-middleware-expires-0.06-r3.apk2024-10-26 06:10 3.9K 
[   ]ty-pyc-0.0.4-r0.apk2025-12-22 05:51 3.9K 
[   ]perl-array-diff-doc-0.09-r0.apk2025-07-12 17:30 3.9K 
[   ]perl-catalyst-plugin-stacktrace-doc-0.12-r0.apk2025-06-14 13:46 3.9K 
[   ]py3-ovos-ocp-rss-plugin-pyc-0.1.1-r0.apk2025-07-16 07:03 3.9K 
[   ]perl-snowball-norwegian-doc-1.2-r0.apk2025-06-09 03:02 3.9K 
[   ]perl-lingua-en-inflect-phrase-doc-0.20-r0.apk2025-06-09 23:06 3.9K 
[   ]tncattach-doc-0.1.9-r1.apk2024-10-26 06:11 3.9K 
[   ]z-doc-1.12-r0.apk2024-10-26 06:11 3.9K 
[   ]py3-sphinxcontrib-gist-0.1.0-r9.apk2024-10-26 06:10 3.9K 
[   ]mangal-fish-completion-4.0.6-r24.apk2026-01-18 09:42 3.9K 
[   ]dfl-applications-dev-0.3.0-r0.apk2025-08-21 18:47 3.9K 
[   ]py3-flask-components-0.1.1-r9.apk2024-10-26 06:10 3.9K 
[   ]perl-lingua-stem-fr-doc-0.02-r0.apk2025-06-07 01:57 3.9K 
[   ]qt-wayland-shell-helpers-dev-0.1.1-r3.apk2024-10-26 06:10 3.9K 
[   ]taskwarrior-tui-doc-0.26.3-r0.apk2025-03-26 22:51 3.9K 
[   ]microsocks-doc-1.0.5-r0.apk2025-12-22 10:53 3.9K 
[   ]perl-log-message-simple-doc-0.10-r3.apk2024-10-26 06:10 4.0K 
[   ]ovos-skill-hello-world-pyc-0.0.4_alpha3-r1.apk2024-10-26 06:10 4.0K 
[   ]perl-email-mime-attachment-stripper-1.317-r5.apk2024-10-26 06:10 4.0K 
[   ]py3-luhn-0.2.0-r9.apk2024-10-26 06:10 4.0K 
[   ]welle-io-doc-2.7-r0.apk2025-04-08 05:40 4.0K 
[   ]amdgpu_top-doc-0.11.0-r0.apk2025-09-03 11:48 4.0K 
[   ]perl-anyevent-dns-etchosts-doc-0.0105-r0.apk2024-10-26 06:10 4.0K 
[   ]py3-bottle-renderer-0.1.1-r9.apk2024-10-26 06:10 4.0K 
[   ]himitsu-secret-service-doc-0.1_git20250705-r1.apk2025-10-21 01:00 4.0K 
[   ]perl-catalystx-component-traits-doc-0.19-r0.apk2025-05-07 18:38 4.0K 
[   ]cowsay-doc-3.04-r2.apk2024-10-26 06:08 4.0K 
[   ]k3sup-zsh-completion-0.13.6-r11.apk2026-01-18 09:42 4.0K 
[   ]mangal-zsh-completion-4.0.6-r24.apk2026-01-18 09:42 4.0K 
[   ]perl-moosex-types-path-tiny-0.012-r0.apk2025-03-26 22:51 4.0K 
[   ]perl-catalyst-plugin-session-store-file-doc-0.18-r0.apk2025-06-14 13:46 4.0K 
[   ]ko-zsh-completion-0.17.1-r11.apk2026-01-18 09:42 4.0K 
[   ]perl-file-treecreate-0.0.1-r0.apk2025-07-12 17:30 4.0K 
[   ]nom-doc-2.8.0-r9.apk2026-01-18 09:42 4.0K 
[   ]buf-zsh-completion-1.59.0-r2.apk2026-01-18 09:42 4.0K 
[   ]py3-django-taggit-serializer-0.1.7-r8.apk2024-10-26 06:10 4.0K 
[   ]topgit-bash-completion-0.19.13-r1.apk2024-10-26 06:11 4.0K 
[   ]otrs-apache2-6.0.48-r2.apk2024-10-26 06:10 4.0K 
[   ]opa-zsh-completion-1.12.3-r0.apk2026-01-24 20:40 4.0K 
[   ]knative-client-zsh-completion-1.19.6-r2.apk2026-01-18 09:42 4.0K 
[   ]zot-cli-zsh-completion-2.1.8-r3.apk2026-01-18 09:42 4.0K 
[   ]devpod-zsh-completion-0.6.15-r10.apk2026-01-18 09:42 4.0K 
[   ]lima-zsh-completion-1.2.1-r2.apk2026-01-18 09:42 4.0K 
[   ]kumactl-zsh-completion-2.10.1-r7.apk2026-01-18 09:42 4.0K 
[   ]semaphoreui-zsh-completion-2.16.37-r2.apk2026-01-18 09:42 4.0K 
[   ]py3-pacparser-pyc-1.4.5-r1.apk2024-10-26 06:10 4.0K 
[   ]upterm-zsh-completion-0.17.0-r2.apk2026-01-18 09:42 4.0K 
[   ]glow-zsh-completion-2.1.1-r6.apk2026-01-18 09:42 4.0K 
[   ]timoni-zsh-completion-0.23.0-r11.apk2026-01-18 09:42 4.0K 
[   ]admesh-dev-0.98.5-r0.apk2024-10-26 06:08 4.0K 
[   ]argocd-zsh-completion-3.2.5-r0.apk2026-01-19 05:19 4.0K 
[   ]stern-zsh-completion-1.33.0-r2.apk2026-01-18 09:42 4.0K 
[   ]kbs2-zsh-completion-0.7.3-r0.apk2025-07-30 18:28 4.0K 
[   ]perl-catalyst-action-renderview-doc-0.17-r0.apk2025-03-26 22:51 4.0K 
[   ]perl-plack-middleware-methodoverride-doc-0.20-r0.apk2025-03-26 22:51 4.0K 
[   ]tenv-zsh-completion-4.9.0-r2.apk2026-01-18 09:42 4.0K 
[   ]virtctl-zsh-completion-1.6.2-r2.apk2026-01-18 09:42 4.0K 
[   ]zita-resampler-doc-1.11.2-r0.apk2025-04-15 08:16 4.0K 
[   ]kraftkit-zsh-completion-0.12.3-r2.apk2026-01-18 09:42 4.0K 
[   ]wgcf-zsh-completion-2.2.29-r2.apk2026-01-18 09:42 4.0K 
[   ]perl-date-range-doc-1.41-r0.apk2025-07-09 03:53 4.0K 
[   ]regal-zsh-completion-0.36.1-r2.apk2026-01-18 09:42 4.0K 
[   ]melange-zsh-completion-0.37.5-r1.apk2026-01-18 09:42 4.0K 
[   ]cilium-cli-zsh-completion-0.16.13-r11.apk2026-01-18 09:42 4.0K 
[   ]helmfile-zsh-completion-1.1.8-r2.apk2026-01-18 09:42 4.0K 
[   ]kubepug-zsh-completion-1.7.1-r16.apk2026-01-18 09:42 4.0K 
[   ]tetragon-client-zsh-completion-1.1.2-r11.apk2026-01-18 09:42 4.0K 
[   ]virter-zsh-completion-0.29.0-r6.apk2026-01-18 09:42 4.0K 
[   ]git-bug-zsh-completion-0.8.1-r7.apk2026-01-18 09:42 4.1K 
[   ]hubble-cli-zsh-completion-0.13.6-r11.apk2026-01-18 09:42 4.1K 
[   ]ticker-zsh-completion-5.0.7-r8.apk2026-01-18 09:42 4.1K 
[   ]bomctl-zsh-completion-0.1.9-r12.apk2026-01-18 09:42 4.1K 
[   ]authenticator-rs-lang-0.8.6-r0.apk2025-09-02 05:29 4.1K 
[   ]gmenuharness-dev-0.1.4-r2.apk2025-02-18 02:07 4.1K 
[   ]primesieve-doc-12.10-r0.apk2025-11-26 06:07 4.1K 
[   ]colormake-0.9.20170221-r0.apk2024-10-26 06:08 4.1K 
[   ]heh-doc-0.6.3-r0.apk2026-01-22 04:22 4.1K 
[   ]perl-crypt-blowfish-doc-2.14-r1.apk2025-06-30 18:25 4.1K 
[   ]py3-flask-basicauth-pyc-0.2.0-r9.apk2024-10-26 06:10 4.1K 
[   ]py3-logtop-pyc-0.7-r1.apk2025-08-10 12:01 4.1K 
[   ]dmenu-wl-doc-0.1-r0.apk2025-07-02 21:32 4.1K 
[   ]py3-flask-cdn-pyc-1.5.3-r8.apk2024-10-26 06:10 4.1K 
[   ]py3-sphinxcontrib-bitbucket-pyc-1.0-r8.apk2024-10-26 06:10 4.1K 
[   ]perl-i18n-langinfo-wide-doc-9-r4.apk2024-10-26 06:10 4.1K 
[   ]perl-moosex-configfromfile-0.14-r0.apk2025-03-26 22:51 4.1K 
[   ]py3-bottle-werkzeug-0.1.1-r9.apk2024-10-26 06:10 4.1K 
[   ]boost1.89-context-1.89.0-r1.apk2026-01-18 09:42 4.1K 
[   ]ampy-doc-1.1.0-r6.apk2025-03-26 22:50 4.1K 
[   ]megatools-bash-completion-1.11.5.20250706-r0.apk2025-07-31 01:58 4.1K 
[   ]py3-flask-json-schema-0.0.5-r4.apk2024-10-26 06:10 4.1K 
[   ]py3-ovos-translate-server-plugin-pyc-0.0.0-r0.apk2024-10-26 06:10 4.1K 
[   ]agrep-doc-0.8.0-r2.apk2024-10-26 06:08 4.1K 
[   ]perl-moosex-types-path-tiny-doc-0.012-r0.apk2025-03-26 22:51 4.1K 
[   ]cgo-doc-0.6.1-r1.apk2024-10-26 06:08 4.1K 
[   ]hurl-zsh-completion-7.1.0-r0.apk2025-12-06 05:41 4.1K 
[   ]perl-lingua-stem-ru-0.04-r0.apk2025-06-07 01:57 4.1K 
[   ]perl-archive-any-lite-0.11-r0.apk2025-07-12 17:30 4.1K 
[   ]perl-catalyst-plugin-i18n-0.10-r0.apk2025-04-04 20:43 4.1K 
[   ]py3-sphinxcontrib-manpage-0.6-r8.apk2024-10-26 06:10 4.1K 
[   ]perl-text-brew-doc-0.02-r5.apk2024-10-26 06:10 4.1K 
[   ]dprint-zsh-completion-0.49.1-r0.apk2025-04-14 09:35 4.1K 
[   ]makeclapman-doc-2.4.4-r11.apk2026-01-18 09:42 4.1K 
[   ]py3-wikipedia-doc-1.4.0-r0.apk2025-09-14 17:33 4.1K 
[   ]infnoise-doc-0.3.3-r0.apk2025-05-26 19:58 4.1K 
[   ]repgrep-fish-completion-0.15.0-r0.apk2024-10-26 06:10 4.1K 
[   ]perl-moosex-configfromfile-doc-0.14-r0.apk2025-03-26 22:51 4.2K 
[   ]w_scan2-doc-1.0.17-r0.apk2025-06-12 04:40 4.2K 
[   ]nvim-cmp-buffer-doc-0.0.0_git20220810-r1.apk2024-10-26 06:10 4.2K 
[   ]igrep-doc-1.2.0-r0.apk2024-10-26 06:09 4.2K 
[   ]libirecovery-dev-1.3.0-r0.apk2025-10-10 04:38 4.2K 
[   ]nwg-look-doc-1.0.6-r2.apk2026-01-18 09:42 4.2K 
[   ]perl-moosex-simpleconfig-doc-0.11-r0.apk2025-03-26 22:51 4.2K 
[   ]checkpolicy-doc-3.6-r0.apk2024-10-26 06:08 4.2K 
[   ]rauc-doc-1.10.1-r0.apk2024-10-26 06:10 4.2K 
[   ]py3-mando-doc-0.8.2-r0.apk2025-12-18 22:02 4.2K 
[   ]xvidtune-doc-1.0.4-r0.apk2024-10-26 06:11 4.2K 
[   ]uxn-doc-1.0-r0.apk2024-10-26 06:11 4.2K 
[   ]a2jmidid-doc-9-r3.apk2024-10-26 06:08 4.2K 
[   ]apt-swarm-bash-completion-0.5.1-r1.apk2025-10-13 00:43 4.2K 
[   ]perl-dancer-session-cookie-doc-0.30-r2.apk2024-10-26 06:10 4.2K 
[   ]libdng-doc-0.2.1-r0.apk2024-12-28 09:09 4.2K 
[   ]py3-fastdiff-pyc-0.3.0-r5.apk2024-10-26 06:10 4.2K 
[   ]netdiscover-doc-0.21-r0.apk2025-08-15 23:45 4.2K 
[   ]py3-log-symbols-0.0.14-r5.apk2024-10-26 06:10 4.2K 
[   ]certbot-dns-njalla-pyc-2.0.0-r0.apk2024-11-28 10:05 4.2K 
[   ]perl-moosex-traits-pluggable-doc-0.12-r0.apk2025-05-04 07:03 4.2K 
[   ]primecount-doc-7.20-r0.apk2025-11-26 06:07 4.2K 
[   ]perl-html-treebuilder-xpath-doc-0.14-r0.apk2025-07-12 17:30 4.2K 
[   ]libjodycode-dev-3.1.1-r0.apk2024-10-26 06:09 4.2K 
[   ]perl-i18n-langinfo-wide-9-r4.apk2024-10-26 06:10 4.2K 
[   ]perl-context-preserve-doc-0.03-r4.apk2024-10-26 06:10 4.2K 
[   ]perl-log-message-simple-0.10-r3.apk2024-10-26 06:10 4.2K 
[   ]tnef-doc-1.4.18-r0.apk2024-10-26 06:11 4.2K 
[   ]py3-daterangestr-pyc-0.0.3-r8.apk2024-10-26 06:10 4.2K 
[   ]transmission-remote-gtk-doc-1.6.0-r0.apk2024-10-26 06:11 4.2K 
[   ]steamguard-cli-zsh-completion-0.17.1-r0.apk2025-07-12 17:31 4.2K 
[   ]nautilus-python-doc-4.0.1-r0.apk2025-10-13 08:54 4.2K 
[   ]qpdfview-doc-0.5-r2.apk2025-01-30 06:49 4.2K 
[   ]perl-test-api-doc-0.010-r2.apk2024-10-26 06:10 4.2K 
[   ]py3-sphinxcontrib-cacoo-pyc-2.0.0-r7.apk2024-10-26 06:10 4.2K 
[   ]colorpicker-0_git20201128-r1.apk2024-10-26 06:08 4.3K 
[   ]py3-bottle-werkzeug-pyc-0.1.1-r9.apk2024-10-26 06:10 4.3K 
[   ]rollup-doc-4.55.2-r0.apk2026-01-23 10:42 4.3K 
[   ]perl-file-mmagic-xs-doc-0.09008-r5.apk2025-06-30 18:25 4.3K 
[   ]py3-barcodenumber-pyc-0.2.1-r10.apk2024-10-26 06:10 4.3K 
[   ]powerstat-doc-0.04.01-r0.apk2024-10-26 06:10 4.3K 
[   ]logc-czmq-0.1.0-r0.apk2024-10-26 06:09 4.3K 
[   ]py3-bottle-pgsql-0.2-r5.apk2024-10-26 06:10 4.3K 
[   ]k3sup-fish-completion-0.13.6-r11.apk2026-01-18 09:42 4.3K 
[   ]pash-2.3.0-r2.apk2024-10-26 06:10 4.3K 
[   ]perl-lingua-pt-stemmer-doc-0.02-r0.apk2025-06-07 13:00 4.3K 
[   ]libaudec-dev-0.3.4-r3.apk2024-10-26 06:09 4.3K 
[   ]ko-fish-completion-0.17.1-r11.apk2026-01-18 09:42 4.3K 
[   ]rankwidth-static-0.9-r4.apk2025-10-28 13:27 4.3K 
[   ]perl-dbix-datasource-0.02-r5.apk2024-10-26 06:10 4.3K 
[   ]vali-dev-0.1.1-r0.apk2026-01-26 06:00 4.3K 
[   ]py3-pyqrcode-doc-1.2.1-r0.apk2024-10-26 06:10 4.3K 
[   ]buf-fish-completion-1.59.0-r2.apk2026-01-18 09:42 4.3K 
[   ]knative-client-fish-completion-1.19.6-r2.apk2026-01-18 09:42 4.3K 
[   ]xsane-doc-0.999-r2.apk2024-10-26 06:11 4.3K 
[   ]opa-fish-completion-1.12.3-r0.apk2026-01-24 20:40 4.3K 
[   ]zot-cli-fish-completion-2.1.8-r3.apk2026-01-18 09:42 4.3K 
[   ]devpod-fish-completion-0.6.15-r10.apk2026-01-18 09:42 4.3K 
[   ]wgcf-fish-completion-2.2.29-r2.apk2026-01-18 09:42 4.3K 
[   ]timoni-fish-completion-0.23.0-r11.apk2026-01-18 09:42 4.3K 
[   ]stern-fish-completion-1.33.0-r2.apk2026-01-18 09:42 4.3K 
[   ]perl-catalystx-component-traits-0.19-r0.apk2025-05-07 18:38 4.3K 
[   ]tenv-fish-completion-4.9.0-r2.apk2026-01-18 09:42 4.3K 
[   ]glow-fish-completion-2.1.1-r6.apk2026-01-18 09:42 4.3K 
[   ]kraftkit-fish-completion-0.12.3-r2.apk2026-01-18 09:42 4.3K 
[   ]perl-asa-1.04-r0.apk2025-06-12 03:34 4.3K 
[   ]lima-fish-completion-1.2.1-r2.apk2026-01-18 09:42 4.3K 
[   ]kumactl-fish-completion-2.10.1-r7.apk2026-01-18 09:42 4.3K 
[   ]virter-fish-completion-0.29.0-r6.apk2026-01-18 09:42 4.3K 
[   ]semaphoreui-fish-completion-2.16.37-r2.apk2026-01-18 09:42 4.3K 
[   ]virtctl-fish-completion-1.6.2-r2.apk2026-01-18 09:42 4.3K 
[   ]cilium-cli-fish-completion-0.16.13-r11.apk2026-01-18 09:42 4.3K 
[   ]regal-fish-completion-0.36.1-r2.apk2026-01-18 09:42 4.3K 
[   ]tetragon-client-fish-completion-1.1.2-r11.apk2026-01-18 09:42 4.3K 
[   ]helmfile-fish-completion-1.1.8-r2.apk2026-01-18 09:42 4.3K 
[   ]perl-lingua-stem-snowball-da-1.01-r0.apk2025-06-09 03:02 4.3K 
[   ]hubble-cli-fish-completion-0.13.6-r11.apk2026-01-18 09:42 4.3K 
[   ]lua5.2-editorconfig-0.3.0-r0.apk2024-10-26 06:09 4.3K 
[   ]melange-fish-completion-0.37.5-r1.apk2026-01-18 09:42 4.3K 
[   ]perl-uri-ws-doc-0.03-r0.apk2025-03-26 22:51 4.3K 
[   ]git-bug-fish-completion-0.8.1-r7.apk2026-01-18 09:42 4.3K 
[   ]perl-catalyst-actionrole-acl-0.07-r0.apk2025-05-07 18:38 4.3K 
[   ]xpar-doc-0.7-r0.apk2025-09-28 01:33 4.3K 
[   ]kubepug-fish-completion-1.7.1-r16.apk2026-01-18 09:42 4.3K 
[   ]ticker-fish-completion-5.0.7-r8.apk2026-01-18 09:42 4.3K 
[   ]gambit-doc-4.9.5-r1.apk2025-04-07 21:34 4.3K 
[   ]py3-sphinxcontrib-httpdomain-lang-1.8.1-r3.apk2024-10-26 06:10 4.3K 
[   ]bomctl-fish-completion-0.1.9-r12.apk2026-01-18 09:42 4.3K 
[   ]gl2ps-dev-1.4.2-r0.apk2025-10-13 08:32 4.3K 
[   ]py3-daterangestr-0.0.3-r8.apk2024-10-26 06:10 4.4K 
[   ]lua5.4-editorconfig-0.3.0-r0.apk2024-10-26 06:09 4.4K 
[   ]cargo-machete-doc-0.9.1-r0.apk2025-08-18 11:38 4.4K 
[   ]eatmemory-0.1.6-r2.apk2024-10-26 06:09 4.4K 
[   ]lua5.3-editorconfig-0.3.0-r0.apk2024-10-26 06:09 4.4K 
[   ]perl-musicbrainz-discid-doc-0.06-r2.apk2025-06-30 18:25 4.4K 
[   ]kompose-fish-completion-1.31.2-r16.apk2026-01-18 09:42 4.4K 
[   ]py3-ovos-phal-plugin-ipgeo-pyc-0.0.2-r1.apk2024-10-26 06:10 4.4K 
[   ]perl-parse-distname-doc-0.05-r0.apk2025-07-12 17:30 4.4K 
[   ]qsynth-doc-1.0.3-r0.apk2025-11-25 22:01 4.4K 
[   ]py3-infinity-1.5-r6.apk2024-10-26 06:10 4.4K 
[   ]py3-ovos-vad-plugin-webrtcvad-pyc-0.0.1-r1.apk2024-10-26 06:10 4.4K 
[   ]perl-template-plugin-number-format-doc-1.06-r4.apk2024-10-26 06:10 4.4K 
[   ]perl-file-treecreate-doc-0.0.1-r0.apk2025-07-12 17:30 4.4K 
[   ]py3-flake8-print-pyc-5.0.0-r5.apk2024-10-26 06:10 4.4K 
[   ]quark-doc-0.5-r0.apk2025-10-12 03:43 4.4K 
[   ]nvimpager-doc-0.12.0-r0.apk2024-10-26 06:10 4.4K 
[   ]setroot-doc-2.0.2-r1.apk2024-10-26 06:10 4.4K 
[   ]nwg-panel-doc-0.10.13-r0.apk2025-11-29 00:29 4.4K 
[   ]apache-mod-auth-openidc-doc-2.4.16.11-r1.apk2025-05-22 17:53 4.4K 
[   ]perl-catalyst-controller-actionrole-doc-0.17-r0.apk2025-04-04 16:40 4.4K 
[   ]py3-marshmallow-enum-pyc-1.5.1-r7.apk2024-10-26 06:10 4.5K 
[   ]perl-lingua-en-tagger-doc-0.31-r0.apk2025-06-09 03:02 4.5K 
[   ]lutgen-doc-1.0.1-r0.apk2025-11-20 07:11 4.5K 
[   ]perl-text-simpletable-2.07-r0.apk2025-03-26 22:51 4.5K 
[   ]zrepl-bash-completion-0.6.1-r17.apk2026-01-18 09:42 4.5K 
[   ]libwhich-1.2.0-r0.apk2024-10-26 06:09 4.5K 
[   ]py3-ask-pyc-0.0.8-r8.apk2024-10-26 06:10 4.5K 
[   ]libb64-2.0.0.1-r0.apk2024-10-26 06:09 4.5K 
[   ]perl-catalyst-plugin-session-store-delegate-0.06-r0.apk2025-06-14 05:17 4.5K 
[   ]gufw-doc-24.04-r3.apk2024-11-20 11:45 4.5K 
[   ]odin-doc-0.2026.01-r0.apk2026-01-08 13:33 4.5K 
[   ]lua-apkbuild-1.1.0-r0.apk2026-01-23 11:25 4.5K 
[   ]quodlibet-bash-completion-4.7.1-r0.apk2025-09-17 05:53 4.5K 
[   ]wakeonlan-0.42-r0.apk2024-10-26 06:11 4.5K 
[   ]fuzzylite-6.0-r2.apk2025-02-02 03:09 4.5K 
[   ]speedtest-go-doc-1.1.5-r21.apk2026-01-18 09:42 4.5K 
[   ]paperkey-doc-1.6-r2.apk2024-10-26 06:10 4.5K 
[   ]flauschige-uhr-0.1-r1.apk2024-10-26 06:09 4.5K 
[   ]gr-satellites-doc-5.5.0-r6.apk2025-10-12 23:09 4.5K 
[   ]py3-ovos-vad-plugin-webrtcvad-0.0.1-r1.apk2024-10-26 06:10 4.5K 
[   ]py3-ovos-phal-plugin-ipgeo-0.0.2-r1.apk2024-10-26 06:10 4.5K 
[   ]sblim-wbemcli-doc-1.6.3-r1.apk2024-10-26 06:10 4.5K 
[   ]py3-click-default-group-pyc-1.2.4-r1.apk2024-10-26 06:10 4.5K 
[   ]perl-catalyst-plugin-session-store-delegate-doc-0.06-r0.apk2025-06-14 05:17 4.5K 
[   ]iprange-doc-1.0.4-r1.apk2024-10-26 06:09 4.5K 
[   ]innernet-fish-completion-1.6.1-r0.apk2024-10-26 06:09 4.5K 
[   ]perl-text-brew-0.02-r5.apk2024-10-26 06:10 4.5K 
[   ]base64c-0.2.1-r0.apk2024-10-26 06:08 4.5K 
[   ]py3-pytest-home-0.6.0-r0.apk2024-10-26 06:10 4.5K 
[   ]wiki-tui-doc-0.9.1-r0.apk2025-11-03 05:08 4.5K 
[   ]perl-data-clone-doc-0.006-r1.apk2025-06-30 18:25 4.5K 
[   ]perl-catalystx-profile-doc-0.02-r0.apk2025-06-15 15:46 4.5K 
[   ]sentinel-proxy-dev-2.1.0-r1.apk2025-06-14 02:19 4.5K 
[   ]way-displays-doc-1.15.0-r0.apk2025-09-30 20:47 4.5K 
[   ]godot-doc-4.5.1-r1.apk2026-01-14 15:08 4.6K 
[   ]ghostty-zsh-completion-1.2.3_git20260112-r1.apk2026-01-21 21:45 4.6K 
[   ]ffsend-zsh-completion-0.2.76-r4.apk2024-10-26 06:09 4.6K 
[   ]perl-pod-cpandoc-0.16-r6.apk2024-10-26 06:10 4.6K 
[   ]envsubst-0.1-r1.apk2024-10-26 06:09 4.6K 
[   ]apache2-mod-realdoc-1-r1.apk2024-10-26 06:08 4.6K 
[   ]warpinator-nemo-2.0.3-r0.apk2026-01-19 06:12 4.6K 
[   ]hub-bash-completion-2.14.2-r37.apk2026-01-18 09:42 4.6K 
[   ]fnf-doc-0.1-r0.apk2024-10-26 06:09 4.6K 
[   ]py3-uc-micro-py-pyc-1.0.3-r0.apk2025-10-27 20:05 4.6K 
[   ]perl-algorithm-cron-doc-0.10-r4.apk2024-10-26 06:10 4.6K 
[   ]perl-lingua-en-words2nums-0.18-r0.apk2025-06-08 01:55 4.6K 
[   ]tomcat9-openrc-9.0.112-r0.apk2025-12-02 07:49 4.6K 
[   ]nitro-init-doc-0.7.1-r0.apk2026-01-26 22:49 4.6K 
[   ]z-1.12-r0.apk2024-10-26 06:11 4.6K 
[   ]py3-hurry.filesize-0.9-r8.apk2024-10-26 06:10 4.6K 
[   ]ry-0.5.2-r1.apk2024-10-26 06:10 4.6K 
[   ]py3-visitor-0.1.3-r7.apk2024-10-26 06:10 4.6K 
[   ]apt-mirror-doc-0.5.4-r0.apk2024-10-26 06:08 4.6K 
[   ]libuecc-dev-7-r4.apk2025-03-04 03:40 4.6K 
[   ]surf-doc-2.1-r3.apk2024-10-26 06:10 4.6K 
[   ]spread-sheet-widget-doc-0.10-r0.apk2024-10-26 06:10 4.6K 
[   ]minikube-fish-completion-1.34.0-r11.apk2026-01-21 12:29 4.6K 
[   ]py3-bottle-websocket-0.2.9-r8.apk2024-10-26 06:10 4.6K 
[   ]cataclysm-dda-doc-0h-r0.apk2025-03-26 22:50 4.6K 
[   ]py3-ioctl-opt-pyc-1.3-r0.apk2025-01-28 08:37 4.6K 
[   ]clevis-extra-pins-0_git20230629-r0.apk2024-10-26 06:08 4.7K 
[   ]py3-pip-system-certs-pyc-4.0-r1.apk2024-10-26 06:10 4.7K 
[   ]haredo-doc-1.0.5-r1.apk2024-11-29 03:16 4.7K 
[   ]console_bridge-dev-1.0.2-r1.apk2026-01-28 17:19 4.7K 
[   ]perl-catalyst-plugin-stacktrace-0.12-r0.apk2025-06-14 13:46 4.7K 
[   ]linuxptp-hwstamp_ctl-4.4-r0.apk2024-11-20 11:45 4.7K 
[   ]perl-class-c3-adopt-next-doc-0.14-r0.apk2025-03-26 22:51 4.7K 
[   ]hare-scfg-0.25.2-r0.apk2025-09-23 02:28 4.7K 
[   ]findtow-0.1-r0.apk2024-10-26 06:09 4.7K 
[   ]py3-bottle-sqlite-0.2.0-r7.apk2024-10-26 06:10 4.7K 
[   ]perl-url-encode-doc-0.03-r4.apk2024-10-26 06:10 4.7K 
[   ]py3-crc16-pyc-0.1.1-r10.apk2024-10-26 06:10 4.7K 
[   ]octoprint-creality2xfix-0.0.4-r2.apk2024-10-26 06:10 4.7K 
[   ]i2util-doc-4.2.1-r1.apk2024-10-26 06:09 4.7K 
[   ]perl-perlio-locale-0.10-r13.apk2025-06-30 18:25 4.7K 
[   ]perl-module-path-0.19-r0.apk2025-06-09 03:02 4.7K 
[   ]rattler-build-fish-completion-0.18.0-r0.apk2024-10-26 06:10 4.7K 
[   ]duf-doc-0.9.1-r3.apk2026-01-18 09:42 4.7K 
[   ]soundconverter-doc-4.1.1-r0.apk2025-07-30 00:12 4.7K 
[   ]mkdocs-bootswatch-pyc-1.1-r5.apk2024-10-26 06:10 4.7K 
[   ]jsmn-1.1.0-r2.apk2024-10-26 06:09 4.7K 
[   ]snore-0.3.1-r0.apk2024-10-26 06:10 4.7K 
[   ]php82-pecl-apfd-1.0.3-r0.apk2024-10-26 06:10 4.7K 
[   ]xmag-doc-1.0.8-r0.apk2024-10-26 06:11 4.7K 
[   ]php83-pecl-apfd-1.0.3-r0.apk2024-10-26 06:10 4.7K 
[   ]py3-doi-pyc-0.2-r0.apk2025-04-14 07:28 4.7K 
[   ]bat-extras-batman-2024.08.24-r0.apk2025-10-13 07:47 4.7K 
[   ]libsquish-dev-1.15-r0.apk2025-12-30 04:36 4.8K 
[   ]py3-flask-cdn-1.5.3-r8.apk2024-10-26 06:10 4.8K 
[   ]py3-ovos-microphone-plugin-alsa-pyc-0.1.2-r0.apk2025-07-16 07:03 4.8K 
[   ]ruby-minitest-proveit-1.0.0-r0.apk2025-07-07 05:24 4.8K 
[   ]q6voiced-0.2.1-r0.apk2025-12-31 00:28 4.8K 
[   ]dfl-ipc-dev-0.3.0-r0.apk2025-08-21 18:47 4.8K 
[   ]hx-doc-1.0.15-r0.apk2024-10-26 06:09 4.8K 
[   ]ruby-build-doc-20250925-r0.apk2025-09-29 02:45 4.8K 
[   ]perl-email-reply-doc-1.204-r5.apk2024-10-26 06:10 4.8K 
[   ]caps2esc-0.3.2-r0.apk2024-10-26 06:08 4.8K 
[   ]perl-alien-libgumbo-doc-0.05-r1.apk2025-06-30 18:25 4.8K 
[   ]pdf2svg-0.2.4-r0.apk2025-09-29 05:49 4.8K 
[   ]perl-encode-detect-doc-1.01-r1.apk2025-06-30 18:25 4.8K 
[   ]perl-catalyst-plugin-session-state-cookie-doc-0.18-r0.apk2025-04-20 15:32 4.8K 
[   ]libcork-tools-0.15.0-r7.apk2024-10-26 06:09 4.8K 
[   ]py3-bottle-sqlalchemy-0.4.3-r8.apk2024-10-26 06:10 4.8K 
[   ]trippy-zsh-completion-0.13.0-r0.apk2025-05-19 10:20 4.9K 
[   ]perl-catalyst-plugin-session-state-cookie-0.18-r0.apk2025-04-20 15:32 4.9K 
[   ]perl-xml-rpc-doc-2.1-r0.apk2024-10-26 06:10 4.9K 
[   ]perl-test-utf8-doc-1.03-r0.apk2024-11-20 11:45 4.9K 
[   ]sstp-client-doc-1.0.20-r3.apk2025-10-24 13:29 4.9K 
[   ]py3-bottle-api-0.0.4-r7.apk2024-10-26 06:10 4.9K 
[   ]horizon-dev-0.9.6-r9.apk2024-10-26 06:09 4.9K 
[   ]perl-expect-simple-doc-0.04-r0.apk2025-04-20 15:38 4.9K 
[   ]py3-sphinxcontrib-slide-1.0.0-r4.apk2025-05-14 09:00 4.9K 
[   ]ngs-vim-0.2.14-r0.apk2024-10-26 06:10 4.9K 
[   ]perl-pod-cpandoc-doc-0.16-r6.apk2024-10-26 06:10 4.9K 
[   ]perl-data-censor-0.04-r0.apk2026-01-23 11:42 4.9K 
[   ]perl-template-plugin-number-format-1.06-r4.apk2024-10-26 06:10 4.9K 
[   ]perl-linux-pid-0.04-r15.apk2025-06-30 18:25 4.9K 
[   ]perl-dancer-plugin-dbic-0.2104-r5.apk2024-10-26 06:10 4.9K 
[   ]py3-scs-pyc-3.2.3-r4.apk2024-10-26 06:10 4.9K 
[   ]xfd-doc-1.1.4-r0.apk2024-10-26 06:11 4.9K 
[   ]py3-sphinxcontrib-sqltable-pyc-2.0.0-r8.apk2024-10-26 06:10 4.9K 
[   ]ckb-next-dev-0.6.2-r1.apk2025-09-07 03:20 4.9K 
[   ]perl-moosex-traits-pluggable-0.12-r0.apk2025-05-04 07:03 4.9K 
[   ]py3-flask-autorouter-pyc-0.2.2-r3.apk2024-10-26 06:10 5.0K 
[   ]rofi-pass-doc-2.0.2-r2.apk2024-10-26 06:10 5.0K 
[   ]dfl-sni-dev-0.3.0-r0.apk2025-08-21 18:47 5.0K 
[   ]py3-django-taggit-serializer-pyc-0.1.7-r8.apk2024-10-26 06:10 5.0K 
[   ]git-revise-doc-0.7.0-r5.apk2024-10-26 06:09 5.0K 
[   ]lomiri-action-api-dev-1.2.1-r0.apk2025-10-26 07:19 5.0K 
[   ]py3-print-color-pyc-0.4.6-r0.apk2024-10-26 06:10 5.0K 
[   ]paperde-dev-0.3.0-r2.apk2025-08-21 18:47 5.0K 
[   ]projectsandcastle-loader-0_git20200307-r1.apk2024-10-26 06:10 5.0K 
[   ]lv_font_conv-doc-1.5.3-r0.apk2025-08-20 06:54 5.0K 
[   ]mangal-bash-completion-4.0.6-r24.apk2026-01-18 09:42 5.0K 
[   ]perl-catalyst-controller-actionrole-0.17-r0.apk2025-04-04 16:40 5.0K 
[   ]yaru-gtksourceview-25.10.3-r0.apk2026-01-06 16:46 5.0K 
[   ]perl-data-censor-doc-0.04-r0.apk2026-01-23 11:42 5.0K 
[   ]perl-asa-doc-1.04-r0.apk2025-06-12 03:34 5.0K 
[   ]libdbusaccess-dev-1.0.20-r1.apk2025-08-10 12:01 5.0K 
[   ]py3-ask-0.0.8-r8.apk2024-10-26 06:10 5.0K 
[   ]perl-template-tiny-doc-1.16-r0.apk2025-07-24 23:42 5.0K 
[   ]k3sup-bash-completion-0.13.6-r11.apk2026-01-18 09:42 5.0K 
[   ]ko-bash-completion-0.17.1-r11.apk2026-01-18 09:42 5.0K 
[   ]mailctl-doc-0.9.2-r0.apk2024-10-26 06:09 5.0K 
[   ]linux-timemachine-1.3.2-r0.apk2024-10-26 06:09 5.1K 
[   ]devpod-bash-completion-0.6.15-r10.apk2026-01-18 09:42 5.1K 
[   ]xfce4-hamster-plugin-lang-1.17-r0.apk2024-10-26 06:11 5.1K 
[   ]py3-pygpgme-pyc-0.3.1-r10.apk2026-01-18 09:42 5.1K 
[   ]cargo-run-bin-doc-1.7.2-r0.apk2024-10-26 06:08 5.1K 
[   ]cilium-cli-bash-completion-0.16.13-r11.apk2026-01-18 09:42 5.1K 
[   ]tetragon-client-bash-completion-1.1.2-r11.apk2026-01-18 09:42 5.1K 
[   ]hubble-cli-bash-completion-0.13.6-r11.apk2026-01-18 09:42 5.1K 
[   ]py3-ovos-phal-plugin-connectivity-events-pyc-0.1.2-r0.apk2025-07-16 07:03 5.1K 
[   ]abnfgen-doc-0.21-r0.apk2025-05-28 08:41 5.1K 
[   ]perl-class-c3-adopt-next-0.14-r0.apk2025-03-26 22:51 5.1K 
[   ]py3-flask-autorouter-0.2.2-r3.apk2024-10-26 06:10 5.1K 
[   ]php82-ctype-8.2.30-r2.apk2026-01-14 15:08 5.1K 
[   ]perl-test-api-0.010-r2.apk2024-10-26 06:10 5.1K 
[   ]perl-algorithm-c3-doc-0.11-r1.apk2024-10-26 06:10 5.1K 
[   ]wmctrl-doc-1.07-r1.apk2024-10-26 06:11 5.1K 
[   ]minidyndns-doc-1.3.0-r3.apk2024-10-26 06:10 5.1K 
[   ]lomiri-thumbnailer-dev-3.1.0-r0.apk2025-12-09 22:10 5.1K 
[   ]perl-moosex-markasmethods-0.15-r0.apk2025-06-05 05:18 5.1K 
[   ]php81-ctype-8.1.34-r1.apk2026-01-14 15:08 5.1K 
[   ]perl-anyevent-dns-etchosts-0.0105-r0.apk2024-10-26 06:10 5.1K 
[   ]virtctl-bash-completion-1.6.2-r2.apk2026-01-18 09:42 5.1K 
[   ]kubepug-bash-completion-1.7.1-r16.apk2026-01-18 09:42 5.1K 
[   ]bomctl-bash-completion-0.1.9-r12.apk2026-01-18 09:42 5.1K 
[   ]py3-radon-doc-6.0.1-r2.apk2024-10-26 06:10 5.1K 
[   ]py3-click-default-group-1.2.4-r1.apk2024-10-26 06:10 5.1K 
[   ]kine-doc-0.10.1-r19.apk2026-01-18 09:42 5.2K 
[   ]perl-url-encode-0.03-r4.apk2024-10-26 06:10 5.2K 
[   ]perl-path-iter-doc-0.2-r3.apk2024-10-26 06:10 5.2K 
[   ]perl-ffi-platypus-type-enum-doc-0.06-r0.apk2024-10-26 06:10 5.2K 
[   ]perl-net-irr-doc-0.10-r0.apk2024-10-26 06:10 5.2K 
[   ]cargo-shuttle-bash-completion-0.56.6-r0.apk2025-07-29 18:56 5.2K 
[   ]py3-bottle-rest-pyc-0.6.0-r1.apk2024-10-26 06:10 5.2K 
[   ]tre-dev-0.8.0-r2.apk2024-10-26 06:11 5.2K 
[   ]perl-digest-bcrypt-doc-1.212-r1.apk2024-10-26 06:10 5.2K 
[   ]rankwidth-libs-0.9-r4.apk2025-10-28 13:27 5.2K 
[   ]minimodem-doc-0.24-r1.apk2024-10-26 06:10 5.2K 
[   ]perl-io-interactive-doc-1.027-r0.apk2025-09-02 00:16 5.2K 
[   ]py3-flake8-blind-except-0.2.1-r4.apk2024-10-26 06:10 5.2K 
[   ]perl-moosex-markasmethods-doc-0.15-r0.apk2025-06-05 05:18 5.2K 
[   ]perl-ffi-platypus-type-enum-0.06-r0.apk2024-10-26 06:10 5.2K 
[   ]py3-bottle-api-pyc-0.0.4-r7.apk2024-10-26 06:10 5.2K 
[   ]perl-lingua-stem-it-0.02-r0.apk2025-06-07 01:57 5.2K 
[   ]perl-path-iter-0.2-r3.apk2024-10-26 06:10 5.2K 
[   ]zita-njbridge-doc-0.4.8-r1.apk2024-10-26 06:11 5.2K 
[   ]py3-bottle-sqlite-pyc-0.2.0-r7.apk2024-10-26 06:10 5.2K 
[   ]perl-snowball-swedish-1.2-r0.apk2025-06-09 03:02 5.2K 
[   ]mobpass-pyc-0.2-r6.apk2024-10-26 06:10 5.2K 
[   ]json2tsv-doc-1.2-r0.apk2024-10-26 06:09 5.2K 
[   ]tcpbench-doc-3.00-r1.apk2025-09-13 12:00 5.3K 
[   ]reap-0.2-r0.apk2025-09-14 01:13 5.3K 
[   ]perl-snowball-norwegian-1.2-r0.apk2025-06-09 03:02 5.3K 
[   ]git-bug-bash-completion-0.8.1-r7.apk2026-01-18 09:42 5.3K 
[   ]edward-doc-1.1.0-r0.apk2024-10-26 06:09 5.3K 
[   ]gtk-session-lock-dev-0.2.0-r0.apk2025-02-02 03:09 5.3K 
[   ]budgie-session-doc-0.9.1-r0.apk2025-10-17 04:07 5.3K 
[   ]syncwhen-0.3-r0.apk2025-11-02 04:26 5.3K 
[   ]py3-marshmallow-enum-1.5.1-r7.apk2024-10-26 06:10 5.3K 
[   ]calibre-bash-completion-8.16.2-r0.apk2026-01-29 10:43 5.3K 
[   ]perl-io-interactive-1.027-r0.apk2025-09-02 00:16 5.3K 
[   ]perl-lingua-en-inflect-phrase-0.20-r0.apk2025-06-09 23:06 5.3K 
[   ]py3-jaraco.logging-3.4.0-r0.apk2025-06-18 09:15 5.3K 
[   ]xmp-doc-4.2.0-r0.apk2024-10-26 06:11 5.3K 
[   ]bat-extras-2024.08.24-r0.apk2025-10-13 07:47 5.3K 
[   ]beebzzr-0_git20251214-r0.apk2026-01-18 09:42 5.3K 
[   ]lua-resty-redis-0.29-r0.apk2024-10-26 06:09 5.3K 
[   ]acmeleaf-doc-0.2.2-r0.apk2026-01-28 00:30 5.3K 
[   ]py3-flake8-snippets-0.2-r8.apk2024-10-26 06:10 5.3K 
[   ]perl-dbicx-sugar-doc-0.0200-r5.apk2024-10-26 06:10 5.3K 
[   ]perl-class-c3-componentised-doc-1.001002-r2.apk2024-10-26 06:10 5.3K 
[   ]py3-flask-basicauth-0.2.0-r9.apk2024-10-26 06:10 5.3K 
[   ]perl-moosex-emulate-class-accessor-fast-doc-0.009032-r0.apk2025-03-26 22:51 5.3K 
[   ]serialdv-dev-1.1.5-r0.apk2025-10-25 07:29 5.3K 
[   ]perl-dancer-plugin-dbic-doc-0.2104-r5.apk2024-10-26 06:10 5.4K 
[   ]logc-config-0.5.0-r1.apk2025-06-14 02:19 5.4K 
[   ]py3-iterable-io-pyc-1.0.1-r0.apk2026-01-09 00:53 5.4K 
[   ]neo4j-client-doc-2.2.0-r3.apk2024-10-26 06:10 5.4K 
[   ]py3-flake8-isort-pyc-7.0.0-r0.apk2025-10-26 07:12 5.4K 
[   ]base64c-dev-0.2.1-r0.apk2024-10-26 06:08 5.4K 
[   ]libmpfi-dev-1.5.4-r2.apk2024-10-26 06:09 5.4K 
[   ]startup-fish-completion-2.0.3-r5.apk2024-10-26 06:10 5.4K 
[   ]perl-catalyst-plugin-configloader-0.35-r0.apk2025-03-26 22:51 5.4K 
[   ]perl-net-async-redis-xs-doc-1.001-r2.apk2025-06-30 18:25 5.4K 
[   ]py3-pytest-datadir-pyc-1.8.0-r0.apk2025-08-05 23:48 5.4K 
[   ]ruby-minitest-server-1.0.9-r0.apk2025-11-22 09:33 5.4K 
[   ]bat-extras-batdiff-2024.08.24-r0.apk2025-10-13 07:47 5.4K 
[   ]sstp-client-dev-1.0.20-r3.apk2025-10-24 13:29 5.4K 
[   ]perl-object-signature-doc-1.08-r0.apk2025-04-04 22:56 5.4K 
[   ]perl-lingua-pt-stemmer-0.02-r0.apk2025-06-07 13:00 5.4K 
[   ]perl-html-gumbo-doc-0.18-r2.apk2025-06-30 18:25 5.4K 
[   ]py3-setuptools-lint-0.6.0-r9.apk2024-10-26 06:10 5.4K 
[   ]perl-moosex-emulate-class-accessor-fast-0.009032-r0.apk2025-03-26 22:51 5.4K 
[   ]rattler-build-zsh-completion-0.18.0-r0.apk2024-10-26 06:10 5.4K 
[   ]perl-string-toidentifier-en-0.12-r0.apk2025-06-09 23:06 5.4K 
[   ]py3-ovos-tts-server-plugin-pyc-0.0.2_alpha13-r1.apk2024-10-26 06:10 5.4K 
[   ]innernet-zsh-completion-1.6.1-r0.apk2024-10-26 06:09 5.5K 
[   ]py3-pycolorterm-0.2.1-r6.apk2024-10-26 06:10 5.5K 
[   ]perl-dancer-session-cookie-0.30-r2.apk2024-10-26 06:10 5.5K 
[   ]perl-sort-naturally-doc-1.03-r4.apk2024-10-26 06:10 5.5K 
[   ]perl-net-irr-0.10-r0.apk2024-10-26 06:10 5.5K 
[   ]tree-sitter-git-rebase-0_git20240722-r0.apk2025-03-11 14:55 5.5K 
[   ]perl-parse-distname-0.05-r0.apk2025-07-12 17:30 5.5K 
[   ]luksmeta-doc-9-r0.apk2024-10-26 06:09 5.5K 
[   ]font-fantasque-sans-doc-1.8.0-r0.apk2024-10-26 06:09 5.5K 
[   ]autoconf-policy-0.1-r0.apk2024-10-26 06:08 5.5K 
[   ]perl-expect-simple-0.04-r0.apk2025-04-20 15:38 5.5K 
[   ]perl-moosex-object-pluggable-doc-0.0014-r0.apk2025-05-04 07:03 5.5K 
[   ]flightgear-bash-completion-2024.1.1-r0.apk2025-03-05 11:16 5.5K 
[   ]perl-conf-libconfig-doc-1.0.3-r2.apk2025-06-30 18:25 5.5K 
[   ]ghq-doc-1.8.0-r7.apk2026-01-18 09:42 5.5K 
[   ]lockrun-1.1.3-r1.apk2024-10-26 06:09 5.5K 
[   ]py3-sphinx-theme-guzzle-pyc-0.7.11-r7.apk2024-10-26 06:10 5.5K 
[   ]php84-snappy-0.2.3-r0.apk2025-04-10 05:19 5.5K 
[   ]py3-requests-wsgi-adapter-0.4.1-r1.apk2024-10-26 06:10 5.5K 
[   ]php82-snappy-0.2.3-r0.apk2025-04-10 05:19 5.5K 
[   ]tick-doc-1.2.3-r0.apk2025-10-10 04:38 5.5K 
[   ]argocd-doc-3.2.5-r0.apk2026-01-19 05:19 5.5K 
[   ]libglib-testing-dev-0.1.1-r0.apk2025-05-08 23:22 5.5K 
[   ]kubeseal-doc-0.34.0-r0.apk2026-01-19 04:59 5.5K 
[   ]wol-doc-0.7.1-r3.apk2024-10-26 06:11 5.5K 
[   ]jadx-doc-1.5.3-r0.apk2025-09-10 23:55 5.5K 
[   ]perl-class-c3-componentised-1.001002-r2.apk2024-10-26 06:10 5.5K 
[   ]herbe-1.0.0-r0.apk2024-10-26 06:09 5.5K 
[   ]php85-snappy-0.2.3-r0.apk2025-12-25 11:03 5.5K 
[   ]mrsh-0_git20210518-r1.apk2024-10-26 06:10 5.5K 
[   ]libb64-dev-2.0.0.1-r0.apk2024-10-26 06:09 5.5K 
[   ]perl-template-tiny-1.16-r0.apk2025-07-24 23:42 5.5K 
[   ]prosody-mod-mam_muc-0.11_hg20201208-r0.apk2024-10-26 06:10 5.6K 
[   ]upterm-bash-completion-0.17.0-r2.apk2026-01-18 09:42 5.6K 
[   ]bgs-0.8-r1.apk2024-10-26 06:08 5.6K 
[   ]rofi-json-menu-0.2.0-r1.apk2024-10-26 06:10 5.6K 
[   ]py3-sphinxcontrib-slide-pyc-1.0.0-r4.apk2025-05-14 09:00 5.6K 
[   ]py3-rst-0.1-r9.apk2024-10-26 06:10 5.6K 
[   ]neard-doc-0.19-r1.apk2026-01-19 06:02 5.6K 
[   ]qperf-doc-0.4.11-r2.apk2025-05-14 09:00 5.6K 
[   ]py3-flask-loopback-1.4.7-r7.apk2024-10-26 06:10 5.6K 
[   ]perl-cpansa-db-doc-20250807.001-r0.apk2025-09-02 00:16 5.6K 
[   ]perl-test-utf8-1.03-r0.apk2024-11-20 11:45 5.6K 
[   ]perl-digest-bcrypt-1.212-r1.apk2024-10-26 06:10 5.6K 
[   ]py3-flask-accept-0.0.7-r0.apk2025-07-13 02:27 5.6K 
[   ]bat-extras-prettybat-2024.08.24-r0.apk2025-10-13 07:47 5.6K 
[   ]perl-string-toidentifier-en-doc-0.12-r0.apk2025-06-09 23:06 5.6K 
[   ]kompose-bash-completion-1.31.2-r16.apk2026-01-18 09:42 5.6K 
[   ]fpp-doc-0.9.5-r0.apk2024-10-26 06:09 5.6K 
[   ]hexedit-doc-1.6_git20230905-r0.apk2024-10-26 06:09 5.6K 
[   ]ubus-dev-2025.10.17-r0.apk2025-10-25 23:56 5.6K 
[   ]fbdebug-1.0.1-r0.apk2025-12-20 08:47 5.6K 
[   ]py3-flask-markdown-0.3-r8.apk2024-10-26 06:10 5.6K 
[   ]perl-data-section-doc-0.200008-r0.apk2025-07-08 04:02 5.6K 
[   ]py3-synapse-auto-accept-invite-pyc-1.2.0-r1.apk2025-08-10 12:01 5.6K 
[   ]py3-bottle-sqlalchemy-pyc-0.4.3-r8.apk2024-10-26 06:10 5.6K 
[   ]libhwpwm-0.4.4-r0.apk2024-10-26 06:09 5.6K 
[   ]budgie-desktop-doc-10.9.2-r0.apk2025-10-17 04:07 5.7K 
[   ]py3-sphinxcontrib-textstyle-pyc-0.2.3-r8.apk2024-10-26 06:10 5.7K 
[   ]perl-lwp-useragent-cached-doc-0.08-r1.apk2024-10-26 06:10 5.7K 
[   ]perl-aliased-0.34-r4.apk2024-10-26 06:10 5.7K 
[   ]perl-algorithm-c3-0.11-r1.apk2024-10-26 06:10 5.7K 
[   ]macchina-doc-6.4.0-r0.apk2025-06-25 14:45 5.7K 
[   ]rankwidth-0.9-r4.apk2025-10-28 13:27 5.7K 
[   ]harminv-doc-1.4.2-r1.apk2024-10-26 06:09 5.7K 
[   ]logc-libs-dev-0.1.0-r0.apk2024-10-26 06:09 5.7K 
[   ]perl-aliased-doc-0.34-r4.apk2024-10-26 06:10 5.7K 
[   ]py3-sphinxcontrib-bitbucket-1.0-r8.apk2024-10-26 06:10 5.7K 
[   ]libhwpwm-dev-0.4.4-r0.apk2024-10-26 06:09 5.7K 
[   ]materia-chromium-20210322-r4.apk2026-01-20 15:56 5.7K 
[   ]py3-flake8-polyfill-pyc-1.0.2-r5.apk2024-10-26 06:10 5.7K 
[   ]imapgoose-doc-0.4.1-r2.apk2026-01-18 09:42 5.7K 
[   ]materia-compact-chromium-20210322-r4.apk2026-01-20 15:56 5.7K 
[   ]walk-sor-0_git20190920-r1.apk2024-10-26 06:11 5.7K 
[   ]turnstile-doc-0.1.10-r3.apk2024-10-26 06:11 5.7K 
[   ]py3-uacme-desec-1.2.1-r0.apk2024-10-26 06:10 5.7K 
[   ]materia-dark-chromium-20210322-r4.apk2026-01-20 15:56 5.7K 
[   ]perl-freezethaw-doc-0.5001-r3.apk2025-10-18 21:32 5.7K 
[   ]materia-dark-compact-chromium-20210322-r4.apk2026-01-20 15:56 5.7K 
[   ]pfetch-doc-1.9.4-r0.apk2025-10-23 01:29 5.7K 
[   ]libvoikko-doc-4.3.2-r1.apk2024-10-26 06:09 5.7K 
[   ]py3-sphinxcontrib-cacoo-2.0.0-r7.apk2024-10-26 06:10 5.7K 
[   ]termbox-dev-1.1.2-r1.apk2024-10-26 06:10 5.7K 
[   ]perl-xml-rpc-2.1-r0.apk2024-10-26 06:10 5.7K 
[   ]apt-swarm-fish-completion-0.5.1-r1.apk2025-10-13 00:43 5.7K 
[   ]perl-catalyst-actionrole-acl-doc-0.07-r0.apk2025-05-07 18:38 5.7K 
[   ]py3-notifymail-pyc-1.1-r8.apk2024-10-26 06:10 5.7K 
[   ]perl-io-sessiondata-1.03-r3.apk2024-10-26 06:10 5.8K 
[   ]dfu-programmer-doc-1.1.0-r0.apk2024-10-26 06:08 5.8K 
[   ]perl-shell-guess-doc-0.10-r0.apk2025-06-08 01:55 5.8K 
[   ]gsimplecal-doc-2.5.2-r0.apk2025-10-17 05:48 5.8K 
[   ]memdump-1.01-r1.apk2024-10-26 06:10 5.8K 
[   ]perl-module-path-doc-0.19-r0.apk2025-06-09 03:02 5.8K 
[   ]py3-simplematch-pyc-1.4-r1.apk2024-10-26 06:10 5.8K 
[   ]vector-doc-0.50.0-r0.apk2025-10-10 04:38 5.8K 
[   ]py3-flask-bcrypt-pyc-1.0.1-r5.apk2024-10-26 06:10 5.8K 
[   ]py3-slixmpp-doc-1.8.5-r2.apk2024-10-26 06:10 5.8K 
[   ]aggregate6-pyc-1.0.14-r0.apk2025-10-14 22:05 5.8K 
[   ]py3-himitsu-0.0.9-r0.apk2025-08-25 21:57 5.8K 
[   ]neocmakelsp-doc-0.9.1-r0.apk2026-01-10 17:59 5.8K 
[   ]stern-bash-completion-1.33.0-r2.apk2026-01-18 09:42 5.8K 
[   ]py3-grequests-pyc-0.7.0-r3.apk2025-05-15 05:17 5.8K 
[   ]startup-dev-2.0.3-r5.apk2024-10-26 06:10 5.8K 
[   ]bat-extras-batwatch-2024.08.24-r0.apk2025-10-13 07:47 5.8K 
[   ]perl-data-validate-ip-doc-0.31-r1.apk2024-10-26 06:10 5.9K 
[   ]perl-carp-repl-doc-0.18-r0.apk2025-05-04 07:03 5.9K 
[   ]dbus-broker-doc-37-r0.apk2025-06-17 22:01 5.9K 
[   ]apt-swarm-zsh-completion-0.5.1-r1.apk2025-10-13 00:43 5.9K 
[   ]deadbeef-soxr-20180801-r0.apk2024-10-26 06:08 5.9K 
[   ]restart-services-doc-0.17.0-r0.apk2024-10-26 06:10 5.9K 
[   ]perl-catalyst-plugin-session-store-dbic-0.14-r0.apk2025-06-14 05:17 5.9K 
[   ]prosody-mod-mam-0.11_hg20201208-r0.apk2024-10-26 06:10 5.9K 
[   ]perl-term-size-0.211-r5.apk2025-06-30 18:25 5.9K 
[   ]perl-dbicx-sugar-0.0200-r5.apk2024-10-26 06:10 5.9K 
[   ]py3-pytest-expect-1.1.0-r10.apk2024-10-26 06:10 5.9K 
[   ]xmoto-doc-0.6.3-r0.apk2025-10-04 04:02 5.9K 
[   ]par2cmdline-turbo-doc-1.3.0-r0.apk2025-05-08 23:24 5.9K 
[   ]py3-jaraco.versioning-1.1.0-r0.apk2024-10-26 06:10 5.9K 
[   ]perl-carp-repl-0.18-r0.apk2025-05-04 07:03 6.0K 
[   ]py3-ticket-auth-0.1.4-r9.apk2024-10-26 06:10 6.0K 
[   ]py3-flake8-debugger-pyc-4.1.2-r4.apk2024-10-26 06:10 6.0K 
[   ]tayga-doc-0.9.6-r0.apk2026-01-05 01:16 6.0K 
[   ]libnfcdef-dev-1.1.0-r0.apk2026-01-03 04:16 6.0K 
[   ]nim-sha2-0.1.1-r0.apk2026-01-25 00:35 6.0K 
[   ]perl-shell-guess-0.10-r0.apk2025-06-08 01:55 6.0K 
[   ]perl-moosex-object-pluggable-0.0014-r0.apk2025-05-04 07:03 6.0K 
[   ]py3-pytap2-pyc-2.3.0-r0.apk2024-10-26 06:10 6.0K 
[   ]lua5.2-psl-0.3-r0.apk2024-10-26 06:09 6.0K 
[   ]flawz-doc-0.3.0-r0.apk2024-11-04 08:06 6.0K 
[   ]lua5.3-psl-0.3-r0.apk2024-10-26 06:09 6.0K 
[   ]py3-iterable-io-1.0.1-r0.apk2026-01-09 00:53 6.0K 
[   ]hdf4-doc-4.2.15-r2.apk2024-10-26 06:09 6.0K 
[   ]py3-setuptools-lint-pyc-0.6.0-r9.apk2024-10-26 06:10 6.0K 
[   ]dislocker-doc-0.7.3-r6.apk2025-07-23 15:04 6.0K 
[   ]php82-sysvsem-8.2.30-r2.apk2026-01-14 15:08 6.0K 
[   ]mint-x-theme-metacity-2.3.7-r1.apk2026-01-25 01:18 6.0K 
[   ]php81-sysvsem-8.1.34-r1.apk2026-01-14 15:08 6.0K 
[   ]leptosfmt-doc-0.1.33-r0.apk2025-03-26 22:50 6.0K 
[   ]php81-gettext-8.1.34-r1.apk2026-01-14 15:08 6.0K 
[   ]py3-class-doc-1.25-r1.apk2024-10-26 06:10 6.1K 
[   ]php82-gettext-8.2.30-r2.apk2026-01-14 15:08 6.1K 
[   ]perl-test-distribution-doc-2.00-r1.apk2024-10-26 06:10 6.1K 
[   ]py3-spinners-0.0.24-r5.apk2024-10-26 06:10 6.1K 
[   ]opa-bash-completion-1.12.3-r0.apk2026-01-24 20:40 6.1K 
[   ]lua5.1-psl-0.3-r0.apk2024-10-26 06:09 6.1K 
[   ]zot-cli-bash-completion-2.1.8-r3.apk2026-01-18 09:42 6.1K 
[   ]py3-rst.linker-2.6.0-r0.apk2024-10-26 06:10 6.1K 
[   ]wgcf-bash-completion-2.2.29-r2.apk2026-01-18 09:42 6.1K 
[   ]semaphoreui-bash-completion-2.16.37-r2.apk2026-01-18 09:42 6.1K 
[   ]lima-bash-completion-1.2.1-r2.apk2026-01-18 09:42 6.1K 
[   ]tenv-bash-completion-4.9.0-r2.apk2026-01-18 09:42 6.1K 
[   ]glow-bash-completion-2.1.1-r6.apk2026-01-18 09:42 6.1K 
[   ]regal-bash-completion-0.36.1-r2.apk2026-01-18 09:42 6.1K 
[   ]kraftkit-bash-completion-0.12.3-r2.apk2026-01-18 09:42 6.1K 
[   ]py3-jaraco.versioning-pyc-1.1.0-r0.apk2024-10-26 06:10 6.1K 
[   ]perl-algorithm-cron-0.10-r4.apk2024-10-26 06:10 6.1K 
[   ]py3-sphinxcontrib-textstyle-0.2.3-r8.apk2024-10-26 06:10 6.1K 
[   ]helmfile-bash-completion-1.1.8-r2.apk2026-01-18 09:42 6.1K 
[   ]virter-bash-completion-0.29.0-r6.apk2026-01-18 09:42 6.1K 
[   ]py3-bottle-rest-0.6.0-r1.apk2024-10-26 06:10 6.1K 
[   ]py3-rst-pyc-0.1-r9.apk2024-10-26 06:10 6.1K 
[   ]curlftpfs-doc-0.9.2-r3.apk2024-10-26 06:08 6.1K 
[   ]py3-jaraco.logging-pyc-3.4.0-r0.apk2025-06-18 09:15 6.1K 
[   ]ticker-bash-completion-5.0.7-r8.apk2026-01-18 09:42 6.1K 
[   ]virtualgl-dev-3.1.4-r0.apk2025-10-10 04:38 6.1K 
[   ]perl-lingua-stem-fr-0.02-r0.apk2025-06-07 01:57 6.1K 
[   ]remind-caldav-pyc-0.8.0-r4.apk2024-10-26 06:10 6.1K 
[   ]perl-email-reply-1.204-r5.apk2024-10-26 06:10 6.1K 
[   ]py3-flask-qrcode-pyc-3.2.0-r0.apk2024-12-12 18:39 6.2K 
[   ]perl-cgi-expand-doc-2.05-r4.apk2024-10-26 06:10 6.2K 
[   ]py3-doi-0.2-r0.apk2025-04-14 07:28 6.2K 
[   ]swhkd-doc-1.2.1-r0.apk2024-10-26 06:10 6.2K 
[   ]neofetch-doc-7.1.0-r2.apk2024-11-07 16:26 6.2K 
[   ]mlxl-0.1-r0.apk2024-10-26 06:10 6.2K 
[   ]libdng-utils-0.2.1-r0.apk2024-12-28 09:09 6.2K 
[   ]perl-memoize-expirelru-0.56-r0.apk2025-06-09 03:02 6.2K 
[   ]perl-throwable-1.001-r1.apk2024-10-26 06:10 6.2K 
[   ]perl-test-www-mechanize-catalyst-doc-0.62-r0.apk2025-04-20 15:32 6.2K 
[   ]river-shifttags-0.2.1-r1.apk2025-05-14 09:00 6.2K 
[   ]py3-flake8-debugger-4.1.2-r4.apk2024-10-26 06:10 6.2K 
[   ]git-graph-doc-0.6.0-r0.apk2024-11-26 10:38 6.2K 
[   ]py3-dbus-fast-doc-3.1.2-r0.apk2025-11-24 08:15 6.2K 
[   ]py3-spinners-pyc-0.0.24-r5.apk2024-10-26 06:10 6.3K 
[   ]py3-flask-dbconfig-pyc-0.3.12-r8.apk2024-10-26 06:10 6.3K 
[   ]py3-dweepy-pyc-0.3.0-r7.apk2024-10-26 06:10 6.3K 
[   ]perl-net-patricia-doc-1.24-r0.apk2025-11-21 08:27 6.3K 
[   ]dnote-doc-0.16.0-r1.apk2026-01-18 09:42 6.3K 
[   ]py3-pbkdf2-1.3-r7.apk2024-10-26 06:10 6.3K 
[   ]openfortivpn-doc-1.22.1-r0.apk2024-12-12 08:34 6.3K 
[   ]schismtracker-doc-20251014-r0.apk2025-10-25 10:50 6.3K 
[   ]bgpq4-doc-1.15-r0.apk2024-10-26 06:08 6.3K 
[   ]py3-click-threading-0.5.0-r5.apk2024-10-26 06:10 6.3K 
[   ]perl-crypt-saltedhash-doc-0.09-r5.apk2024-10-26 06:10 6.4K 
[   ]py3-lsp-black-pyc-2.0.0-r1.apk2024-10-26 06:10 6.4K 
[   ]py3-ticket-auth-pyc-0.1.4-r9.apk2024-10-26 06:10 6.4K 
[   ]ruby-minitest-focus-1.4.1-r0.apk2026-01-04 11:58 6.4K 
[   ]perl-test-kwalitee-1.28-r0.apk2025-07-13 00:43 6.4K 
[   ]perl-lwp-useragent-cached-0.08-r1.apk2024-10-26 06:10 6.4K 
[   ]rss-email-doc-0.5.1-r0.apk2025-08-10 22:21 6.4K 
[   ]php82-shmop-8.2.30-r2.apk2026-01-14 15:08 6.4K 
[   ]php81-shmop-8.1.34-r1.apk2026-01-14 15:08 6.4K 
[   ]perl-test-perl-critic-doc-1.04-r0.apk2025-07-12 17:30 6.4K 
[   ]libbamf-dev-0.5.6-r1.apk2024-10-26 06:09 6.4K 
[   ]perl-data-section-0.200008-r0.apk2025-07-08 04:02 6.4K 
[   ]perl-catalyst-plugin-session-store-dbic-doc-0.14-r0.apk2025-06-14 05:17 6.4K 
[   ]certbot-dns-hetzner-pyc-2.0.1-r1.apk2025-10-10 04:37 6.5K 
[   ]clinfo-doc-3.0.23.01.25-r0.apk2024-10-26 06:08 6.5K 
[   ]py3-python-jwt-pyc-4.1.0-r2.apk2025-05-16 08:22 6.5K 
[   ]symlinks-1.4.3-r0.apk2025-05-04 07:03 6.5K 
[   ]perl-catalyst-model-adaptor-0.10-r0.apk2025-04-14 07:28 6.5K 
[   ]repgrep-doc-0.15.0-r0.apk2024-10-26 06:10 6.5K 
[   ]lsix-1.8.2-r0.apk2024-10-26 06:09 6.5K 
[   ]ovpncc-doc-0.1_rc1-r0.apk2024-10-26 06:10 6.5K 
[   ]lol-html-dev-1.1.1-r1.apk2024-10-26 06:09 6.5K 
[   ]imediff-doc-2.6-r1.apk2024-10-26 06:09 6.5K 
[   ]ghostty-bash-completion-1.2.3_git20260112-r1.apk2026-01-21 21:45 6.5K 
[   ]py3-pymsteams-pyc-0.2.5-r0.apk2025-02-18 02:08 6.5K 
[   ]py3-uc-micro-py-1.0.3-r0.apk2025-10-27 20:05 6.5K 
[   ]watchbind-doc-0.2.1-r1.apk2024-10-26 06:11 6.6K 
[   ]reaction-tools-2.2.1-r0.apk2025-09-24 08:13 6.6K 
[   ]perl-syntax-operator-equ-doc-0.10-r1.apk2025-06-30 18:25 6.6K 
[   ]cpiped-0.1.0-r0.apk2024-10-26 06:08 6.6K 
[   ]cutechess-cli-doc-1.3.1-r0.apk2024-10-26 06:08 6.6K 
[   ]rocm-core-dev-6.4.3-r0.apk2025-08-18 22:32 6.6K 
[   ]dcnnt-doc-0.10.0-r1.apk2024-10-26 06:08 6.6K 
[   ]py3-requests-wsgi-adapter-pyc-0.4.1-r1.apk2024-10-26 06:10 6.6K 
[   ]icingaweb2-module-generictts-2.1.0-r0.apk2024-10-26 06:09 6.6K 
[   ]lspmux-doc-0.3.0-r0.apk2025-11-06 01:23 6.6K 
[   ]emacs-persist-0.6_git20240114-r0.apk2024-10-26 06:09 6.6K 
[   ]py3-tailer-pyc-0.4.1-r7.apk2024-10-26 06:10 6.6K 
[   ]serialdv-1.1.5-r0.apk2025-10-25 07:29 6.6K 
[   ]qtmir-dev-0.7.2_git20250407-r5.apk2025-12-19 01:26 6.6K 
[   ]apk-snap-3.1.1-r0.apk2024-10-26 06:08 6.6K 
[   ]libvalkey-tls-0.2.1-r0.apk2025-11-17 22:56 6.6K 
[   ]py3-rst.linker-pyc-2.6.0-r0.apk2024-10-26 06:10 6.6K 
[   ]melange-bash-completion-0.37.5-r1.apk2026-01-18 09:42 6.6K 
[   ]ovos-messagebus-pyc-0.0.10-r0.apk2025-04-08 18:43 6.6K 
[   ]kontainer-lang-1.3.0-r0.apk2026-01-29 04:46 6.7K 
[   ]fbcur-1.0.1-r1.apk2024-10-26 06:09 6.7K 
[   ]xload-1.1.4-r0.apk2024-10-26 06:11 6.7K 
[   ]debconf-utils-1.5.82-r0.apk2024-10-26 06:08 6.7K 
[   ]ruby-path_expander-2.0.1-r0.apk2026-01-10 16:04 6.7K 
[   ]extremetuxracer-doc-0.8.3-r0.apk2024-10-26 06:09 6.7K 
[   ]py3-jaraco.stream-3.0.4-r0.apk2024-12-15 09:49 6.7K 
[   ]amber-mpris-dev-1.2.9-r0.apk2024-12-23 03:48 6.7K 
[   ]py3-flake8-print-5.0.0-r5.apk2024-10-26 06:10 6.7K 
[   ]py3-grequests-0.7.0-r3.apk2025-05-15 05:17 6.7K 
[   ]rattler-build-doc-0.18.0-r0.apk2024-10-26 06:10 6.7K 
[   ]gmsh-py-4.15.0-r0.apk2025-11-01 08:52 6.7K 
[   ]zarchive-dev-0.1.2-r2.apk2024-10-26 06:11 6.8K 
[   ]perl-catalyst-authentication-credential-http-doc-1.018-r0.apk2025-04-04 16:38 6.8K 
[   ]php81-pecl-uuid-1.3.0-r0.apk2025-05-14 09:00 6.8K 
[   ]py3-ovos-stt-plugin-server-pyc-0.0.4_alpha4-r1.apk2024-10-26 06:10 6.8K 
[   ]py3-uacme-desec-pyc-1.2.1-r0.apk2024-10-26 06:10 6.8K 
[   ]perl-cgi-struct-doc-1.21-r0.apk2025-03-26 22:51 6.8K 
[   ]kompose-zsh-completion-1.31.2-r16.apk2026-01-18 09:42 6.8K 
[   ]isoinfo-0_git20131217-r1.apk2024-10-26 06:09 6.8K 
[   ]perl-test-file-doc-1.995-r0.apk2025-04-20 04:55 6.8K 
[   ]php82-pecl-uploadprogress-2.0.2-r2.apk2025-10-24 13:09 6.8K 
[   ]networkmanager-dmenu-doc-2.6.1-r1.apk2025-10-14 03:00 6.8K 
[   ]pwauth-doc-2.3.11-r2.apk2024-10-26 06:10 6.8K 
[   ]php82-pecl-uuid-1.3.0-r0.apk2025-10-24 13:09 6.8K 
[   ]perl-archive-extract-doc-0.88-r1.apk2024-10-26 06:10 6.8K 
[   ]perl-shell-config-generate-doc-0.34-r0.apk2025-06-09 03:02 6.8K 
[   ]qoi-dev-0.0.0_git20230312-r0.apk2024-10-26 06:10 6.8K 
[   ]termcolor-dev-2.1.0-r0.apk2024-10-26 06:10 6.8K 
[   ]perl-test-perl-critic-1.04-r0.apk2025-07-12 17:30 6.8K 
[   ]json2tsv-1.2-r0.apk2024-10-26 06:09 6.8K 
[   ]prosody-mod-muc_cloud_notify-0.11_hg20201208-r0.apk2024-10-26 06:10 6.9K 
[   ]py3-playsound-1.3.0-r1.apk2024-10-26 06:10 6.9K 
[   ]py3-gsm0338-1.1.0-r0.apk2026-01-29 12:28 6.9K 
[   ]py3-tailer-0.4.1-r7.apk2024-10-26 06:10 6.9K 
[   ]pixi-doc-0.24.2-r0.apk2024-10-26 06:10 6.9K 
[   ]perl-cgi-expand-2.05-r4.apk2024-10-26 06:10 6.9K 
[   ]halp-doc-0.2.0-r0.apk2024-10-26 06:09 6.9K 
[   ]py3-banal-1.0.6-r4.apk2024-10-26 06:10 6.9K 
[   ]perl-test-kwalitee-doc-1.28-r0.apk2025-07-13 00:43 6.9K 
[   ]dublin-traceroute-dev-0.4.2-r4.apk2024-10-26 06:09 6.9K 
[   ]tui-journal-doc-0.10.0-r0.apk2024-10-26 06:11 6.9K 
[   ]game-devices-udev-0.25-r0.apk2025-10-23 16:18 6.9K 
[   ]php81-pecl-uploadprogress-2.0.2-r1.apk2024-10-26 06:10 6.9K 
[   ]java-asmtools-doc-8.0.09-r0.apk2024-10-26 06:09 6.9K 
[   ]n30f-2.0-r3.apk2024-10-26 06:10 6.9K 
[   ]cyrus-sasl-xoauth2-0.2-r1.apk2024-10-26 06:08 6.9K 
[   ]cyrus-sasl-xoauth2-static-0.2-r1.apk2024-10-26 06:08 6.9K 
[   ]perl-constant-defer-doc-6-r5.apk2024-10-26 06:10 6.9K 
[   ]perl-crypt-saltedhash-0.09-r5.apk2024-10-26 06:10 7.0K 
[   ]apk-autoupdate-doc-0_git20210421-r1.apk2024-11-20 11:45 7.0K 
[   ]py3-pytest-expect-pyc-1.1.0-r10.apk2024-10-26 06:10 7.0K 
[   ]py3-pytap2-2.3.0-r0.apk2024-10-26 06:10 7.0K 
[   ]nkk-doc-0_git20221010-r0.apk2024-10-26 06:10 7.0K 
[   ]php81-sysvshm-8.1.34-r1.apk2026-01-14 15:08 7.0K 
[   ]py3-flask-themer-pyc-2.0.0-r2.apk2024-10-26 06:10 7.0K 
[   ]py3-pip-system-certs-4.0-r1.apk2024-10-26 06:10 7.0K 
[   ]php82-sysvshm-8.2.30-r2.apk2026-01-14 15:08 7.0K 
[   ]libmysofa-dev-1.3.2-r0.apk2024-10-26 06:09 7.0K 
[   ]oils-for-unix-doc-0.35.0-r0.apk2025-09-29 09:29 7.0K 
[   ]xcape-1.2-r1.apk2025-05-15 05:17 7.0K 
[   ]tmpmail-1.2.3-r2.apk2024-10-26 06:11 7.0K 
[   ]bat-extras-batpipe-2024.08.24-r0.apk2025-10-13 07:47 7.0K 
[   ]mm-1.4.2-r1.apk2024-10-26 06:10 7.0K 
[   ]perl-constant-generate-doc-0.17-r5.apk2024-10-26 06:10 7.0K 
[   ]spacectl-fish-completion-1.12.0-r7.apk2026-01-18 09:42 7.1K 
[   ]upterm-doc-0.17.0-r2.apk2026-01-18 09:42 7.1K 
[   ]py3-flake8-polyfill-1.0.2-r5.apk2024-10-26 06:10 7.1K 
[   ]py3-pbkdf2-pyc-1.3-r7.apk2024-10-26 06:10 7.1K 
[   ]py3-pytest-datadir-1.8.0-r0.apk2025-08-05 23:48 7.1K 
[   ]adjtimex-doc-1.29-r0.apk2024-10-26 06:08 7.1K 
[   ]py3-x-wr-timezone-pyc-2.0.1-r0.apk2025-02-10 02:13 7.1K 
[   ]arc-xfwm-20221218-r1.apk2026-01-22 05:07 7.1K 
[   ]boxes-doc-2.3.1-r0.apk2024-10-26 06:08 7.1K 
[   ]perl-full-1.004-r0.apk2024-10-26 06:10 7.1K 
[   ]arc-lighter-xfwm-20221218-r1.apk2026-01-22 05:07 7.1K 
[   ]perl-devel-leak-0.03-r14.apk2025-06-30 18:25 7.1K 
[   ]boost1.89-coroutine-1.89.0-r1.apk2026-01-18 09:42 7.1K 
[   ]enlighten-0.9.2-r1.apk2024-10-26 06:09 7.1K 
[   ]moon-buggy-doc-1.0.51-r1.apk2024-10-26 06:10 7.1K 
[   ]py3-flask-bcrypt-1.0.1-r5.apk2024-10-26 06:10 7.1K 
[   ]pmccabe-doc-2.8-r1.apk2024-10-26 06:10 7.1K 
[   ]libandroidfw-dev-0_git20251009-r1.apk2026-01-14 15:08 7.1K 
[   ]pixi-bash-completion-0.24.2-r0.apk2024-10-26 06:10 7.2K 
[   ]py3-shodan-doc-1.31.0-r1.apk2024-10-26 06:10 7.2K 
[   ]litterbox-doc-1.9-r2.apk2025-09-13 12:00 7.2K 
[   ]pptpclient-doc-1.10.0-r6.apk2025-06-30 18:25 7.2K 
[   ]py3-banal-pyc-1.0.6-r4.apk2024-10-26 06:10 7.2K 
[   ]sydbox-vim-3.45.2-r0.apk2025-12-03 13:47 7.2K 
[   ]prosody-mod-cloud_notify-0.11_hg20201208-r0.apk2024-10-26 06:10 7.2K 
[   ]arc-dark-xfwm-20221218-r1.apk2026-01-22 05:07 7.2K 
[   ]arc-darker-xfwm-20221218-r1.apk2026-01-22 05:07 7.2K 
[   ]py3-prctl-pyc-1.8.1-r0.apk2025-10-21 01:00 7.2K 
[   ]ovos-phal-pyc-0.2.10-r0.apk2025-07-16 06:30 7.2K 
[   ]bchunk-1.2.2-r3.apk2024-10-26 06:08 7.3K 
[   ]bkt-doc-0.8.0-r0.apk2024-10-26 06:08 7.3K 
[   ]aggregate6-1.0.14-r0.apk2025-10-14 22:05 7.3K 
[   ]perl-log-fu-doc-0.31-r4.apk2024-10-26 06:10 7.3K 
[   ]advancescan-doc-1.18-r1.apk2024-10-26 06:08 7.3K 
[   ]amiitool-2-r2.apk2024-10-26 06:08 7.3K 
[   ]keystone-dev-0.9.2-r6.apk2024-10-26 06:09 7.3K 
[   ]pinephone-call-audio-0.1-r0.apk2024-10-26 06:10 7.3K 
[   ]py3-colorthief-0.2.1-r1.apk2024-10-26 06:10 7.3K 
[   ]msgpuck-doc-2.0-r1.apk2024-10-26 06:10 7.3K 
[   ]saait-0.8-r0.apk2024-10-26 06:10 7.3K 
[   ]flightgear-zsh-completion-2024.1.1-r0.apk2025-03-05 11:16 7.3K 
[   ]jbigkit-doc-2.1-r2.apk2024-10-26 06:09 7.3K 
[   ]py3-sphinxcontrib-sqltable-2.0.0-r8.apk2024-10-26 06:10 7.3K 
[   ]bat-extras-batgrep-2024.08.24-r0.apk2025-10-13 07:47 7.3K 
[   ]perl-openapi-client-doc-1.07-r0.apk2024-10-26 06:10 7.4K 
[   ]perl-test-www-mechanize-catalyst-0.62-r0.apk2025-04-20 15:32 7.4K 
[   ]lizardfs-cgiserv-3.13.0-r17.apk2025-06-19 19:44 7.4K 
[   ]py3-proglog-0.1.10-r2.apk2024-10-26 06:10 7.4K 
[   ]py3-python-archive-0.2-r7.apk2024-10-26 06:10 7.4K 
[   ]py3-ntplib-0.4.0-r5.apk2024-10-26 06:10 7.4K 
[   ]perl-constant-defer-6-r5.apk2024-10-26 06:10 7.4K 
[   ]perl-session-storage-secure-doc-1.000-r2.apk2024-10-26 06:10 7.4K 
[   ]perl-catalyst-plugin-static-simple-doc-0.37-r0.apk2025-03-26 22:51 7.4K 
[   ]py3-more-properties-1.1.1-r3.apk2024-10-26 06:10 7.4K 
[   ]cliquer-1.23-r0.apk2025-08-12 15:15 7.4K 
[   ]perl-class-accessor-grouped-doc-0.10014-r2.apk2024-10-26 06:10 7.5K 
[   ]py3-columnize-pyc-0.3.11-r4.apk2024-10-26 06:10 7.5K 
[   ]cscope-doc-15.9-r1.apk2024-10-26 06:08 7.5K 
[   ]cargo-udeps-doc-0.1.60-r0.apk2026-01-10 03:02 7.5K 
[   ]cliquer-dev-1.23-r0.apk2025-08-12 15:15 7.5K 
[   ]py3-simplespectral-1.0.0-r5.apk2024-10-26 06:10 7.5K 
[   ]py3-lsp-black-2.0.0-r1.apk2024-10-26 06:10 7.5K 
[   ]perl-lexical-persistence-1.023-r0.apk2025-05-04 07:03 7.5K 
[   ]aqemu-doc-0.9.4-r3.apk2024-10-26 06:08 7.5K 
[   ]wakeonlan-doc-0.42-r0.apk2024-10-26 06:11 7.5K 
[   ]ffms2-dev-5.0-r2.apk2025-09-28 00:54 7.5K 
[   ]perl-web-scraper-0.38-r0.apk2025-07-12 17:30 7.5K 
[   ]boost1.89-nowide-1.89.0-r1.apk2026-01-18 09:42 7.5K 
[   ]perl-dbix-datasource-doc-0.02-r5.apk2024-10-26 06:10 7.5K 
[   ]perl-file-rename-2.02-r0.apk2024-10-26 06:10 7.5K 
[   ]py3-sphinxcontrib-blockdiag-3.0.0-r4.apk2024-10-26 06:10 7.5K 
[   ]py3-himitsu-pyc-0.0.9-r0.apk2025-08-25 21:57 7.5K 
[   ]py3-litex-hub-pythondata-cpu-naxriscv-2024.04-r0.apk2024-10-26 06:10 7.6K 
[   ]php82-pecl-lzf-1.7.0-r0.apk2025-10-24 13:09 7.6K 
[   ]py3-eradicate-2.3.0-r2.apk2024-10-26 06:10 7.6K 
[   ]py3-wg-netns-2.3.1-r1.apk2024-10-26 06:10 7.6K 
[   ]perl-lexical-persistence-doc-1.023-r0.apk2025-05-04 07:03 7.6K 
[   ]dvdbackup-doc-0.4.2-r1.apk2024-10-26 06:09 7.6K 
[   ]py3-notifymail-1.1-r8.apk2024-10-26 06:10 7.6K 
[   ]gmic-dev-3.6.0-r2.apk2026-01-04 03:39 7.6K 
[   ]py3-jaraco.path-3.7.2-r0.apk2024-10-26 06:10 7.6K 
[   ]py3-sphinxcontrib-gravatar-pyc-0.1.2-r8.apk2024-10-26 06:10 7.6K 
[   ]py3-sphinxcontrib-actdiag-3.0.0-r4.apk2024-10-26 06:10 7.6K 
[   ]py3-openapi-codec-1.3.2-r9.apk2024-10-26 06:10 7.6K 
[   ]geomyidae-doc-0.34-r2.apk2024-10-26 06:09 7.6K 
[   ]py3-sphinxcontrib-seqdiag-3.0.0-r5.apk2024-10-26 06:10 7.6K 
[   ]perl-email-abstract-3.010-r0.apk2024-10-26 06:10 7.6K 
[   ]opkg-doc-0.7.0-r0.apk2024-10-26 06:10 7.7K 
[   ]linux-gpib-dev-4.3.7-r0.apk2025-12-07 10:07 7.7K 
[   ]cargo-geiger-doc-0.13.0-r0.apk2025-10-11 04:32 7.7K 
[   ]libbloom-2.0-r0.apk2024-10-26 06:09 7.7K 
[   ]mat2-doc-0.13.5-r0.apk2025-09-17 06:00 7.7K 
[   ]php81-pecl-lzf-1.7.0-r0.apk2024-10-26 06:10 7.7K 
[   ]harminv-1.4.2-r1.apk2024-10-26 06:09 7.7K 
[   ]p910nd-0.97-r2.apk2024-10-26 06:10 7.7K 
[   ]tuios-doc-0.6.0-r0.apk2026-01-27 00:42 7.7K 
[   ]py3-sstash-0.17-r9.apk2024-10-26 06:10 7.7K 
[   ]perl-moosex-role-parameterized-1.11-r0.apk2025-03-26 22:51 7.7K 
[   ]perl-test-distribution-2.00-r1.apk2024-10-26 06:10 7.8K 
[   ]walk-sor-doc-0_git20190920-r1.apk2024-10-26 06:11 7.8K 
[   ]boost1.89-atomic-1.89.0-r1.apk2026-01-18 09:42 7.8K 
[   ]perl-carp-assert-more-doc-2.9.0-r0.apk2025-04-14 07:28 7.8K 
[   ]py3-bottle-session-pyc-1.0-r6.apk2024-10-26 06:10 7.8K 
[   ]perl-html-treebuilder-xpath-0.14-r0.apk2025-07-12 17:30 7.8K 
[   ]nvim-cmp-buffer-0.0.0_git20220810-r1.apk2024-10-26 06:10 7.8K 
[   ]py3-quebra-frases-pyc-0.3.7-r1.apk2024-10-26 06:10 7.8K 
[   ]runst-doc-0.2.0-r0.apk2025-11-17 10:39 7.8K 
[   ]moosefs-cgiserv-4.56.6-r2.apk2025-06-19 19:44 7.8K 
[   ]php81-sysvmsg-8.1.34-r1.apk2026-01-14 15:08 7.8K 
[   ]perl-string-escape-doc-2010.002-r0.apk2025-04-03 04:03 7.8K 
[   ]perl-cgi-struct-1.21-r0.apk2025-03-26 22:51 7.8K 
[   ]perl-syntax-keyword-match-doc-0.15-r1.apk2025-06-30 18:25 7.8K 
[   ]perl-shell-config-generate-0.34-r0.apk2025-06-09 03:02 7.8K 
[   ]py3-flask-httpauth-4.8.0-r3.apk2025-11-29 22:38 7.8K 
[   ]lomiri-libusermetrics-dev-1.4.0-r0.apk2025-12-09 22:10 7.8K 
[   ]py3-click-threading-pyc-0.5.0-r5.apk2024-10-26 06:10 7.9K 
[   ]py3-simplesoapy-1.5.1-r7.apk2024-10-26 06:10 7.9K 
[   ]py3-sphinxcontrib-gravatar-0.1.2-r8.apk2024-10-26 06:10 7.9K 
[   ]perl-dbix-class-candy-0.005004-r0.apk2024-10-30 16:59 7.9K 
[   ]py3-flake8-builtins-pyc-2.5.0-r0.apk2024-12-08 08:51 7.9K 
[   ]mint-x-icons-doc-1.7.5-r0.apk2025-12-01 17:04 7.9K 
[   ]care-doc-2.3.0-r1.apk2024-10-26 06:08 7.9K 
[   ]queercat-1.0.0-r0.apk2024-10-26 06:10 7.9K 
[   ]py3-flask-themer-2.0.0-r2.apk2024-10-26 06:10 7.9K 
[   ]py3-pytest-metadata-pyc-3.1.1-r0.apk2024-10-26 06:10 7.9K 
[   ]jhead-doc-3.08-r0.apk2024-10-26 06:09 7.9K 
[   ]stw-0.3-r0.apk2024-10-26 06:10 7.9K 
[   ]pure-doc-1.23.0-r0.apk2025-10-21 01:00 7.9K 
[   ]fulcrum-admin-1.9.8-r1.apk2024-10-26 06:09 7.9K 
[   ]py3-flask-loopback-pyc-1.4.7-r7.apk2024-10-26 06:10 7.9K 
[   ]apache2-mod-authnz-external-3.3.3-r0.apk2024-10-26 06:08 7.9K 
[   ]py3-sphinxcontrib-lassodomain-0.4-r8.apk2024-10-26 06:10 7.9K 
[   ]cargo-shuttle-zsh-completion-0.56.6-r0.apk2025-07-29 18:56 7.9K 
[   ]py3-maidenhead-1.8.0-r0.apk2025-08-11 08:20 7.9K 
[   ]py3-clickclick-20.10.2-r4.apk2024-10-26 06:10 7.9K 
[   ]py3-maidenhead-pyc-1.8.0-r0.apk2025-08-11 08:20 8.0K 
[   ]timoni-bash-completion-0.23.0-r11.apk2026-01-18 09:42 8.0K 
[   ]rocm-core-6.4.3-r0.apk2025-08-18 22:32 8.0K 
[   ]hyperrogue-doc-13.1i-r0.apk2025-12-19 14:52 8.0K 
[   ]code-minimap-doc-0.6.7-r0.apk2024-12-13 06:37 8.0K 
[   ]boinc-doc-7.24.3-r0.apk2024-10-26 06:08 8.0K 
[   ]sigrok-cli-doc-0.7.2-r0.apk2024-10-26 06:10 8.0K 
[   ]py3-simplematch-1.4-r1.apk2024-10-26 06:10 8.0K 
[   ]nfoview-doc-2.1-r0.apk2025-04-14 07:28 8.0K 
[   ]perl-throwable-doc-1.001-r1.apk2024-10-26 06:10 8.0K 
[   ]libb64-doc-2.0.0.1-r0.apk2024-10-26 06:09 8.0K 
[   ]vmtouch-doc-1.3.1-r0.apk2024-10-26 06:11 8.0K 
[   ]hiprompt-gtk-py-0.8.0-r1.apk2025-09-17 21:03 8.0K 
[   ]perl-dbix-introspector-0.001005-r4.apk2024-10-26 06:10 8.1K 
[   ]petitboot-doc-1.15-r1.apk2026-01-15 15:57 8.1K 
[   ]cdba-1.0-r2.apk2024-10-26 06:08 8.1K 
[   ]libretro-gong-0_git20220319-r0.apk2024-10-26 06:09 8.1K 
[   ]py3-ly-doc-0.9.9-r0.apk2025-07-13 08:56 8.1K 
[   ]py3-python-jwt-4.1.0-r2.apk2025-05-16 08:22 8.1K 
[   ]perl-web-scraper-doc-0.38-r0.apk2025-07-12 17:30 8.1K 
[   ]py3-ovos-ocp-m3u-plugin-0.0.2-r0.apk2024-10-26 06:10 8.1K 
[   ]py3-jaraco.stream-pyc-3.0.4-r0.apk2024-12-15 09:49 8.1K 
[   ]py3-simplespectral-pyc-1.0.0-r5.apk2024-10-26 06:10 8.1K 
[   ]flowd-dev-0.9.1-r11.apk2025-06-30 18:25 8.1K 
[   ]perl-netaddr-mac-doc-0.99-r0.apk2025-12-21 18:46 8.1K 
[   ]libtsm-dev-4.3.0-r0.apk2026-01-10 03:02 8.1K 
[   ]libcorkipset-dev-1.1.1-r4.apk2024-10-26 06:09 8.1K 
[   ]php82-sysvmsg-8.2.30-r2.apk2026-01-14 15:08 8.1K 
[   ]exabgp-doc-4.2.24-r1.apk2025-09-07 03:20 8.1K 
[   ]ttfautohint-doc-1.8.4-r0.apk2024-10-26 06:11 8.1K 
[   ]libjodycode-3.1.1-r0.apk2024-10-26 06:09 8.1K 
[   ]libmhash-doc-0.9.9.9-r3.apk2024-10-26 06:09 8.1K 
[   ]wol-lang-0.7.1-r3.apk2024-10-26 06:11 8.2K 
[   ]rtl-power-fftw-doc-20200601-r4.apk2024-10-26 06:10 8.2K 
[   ]py3-ovos-ocp-rss-plugin-0.1.1-r0.apk2025-07-16 07:03 8.2K 
[   ]perl-moosex-nonmoose-0.27-r1.apk2025-06-19 21:55 8.2K 
[   ]php82-pspell-8.2.30-r2.apk2026-01-14 15:08 8.2K 
[   ]py3-flask-paginate-0.8.1-r6.apk2024-10-26 06:10 8.2K 
[   ]py3-ovos-audio-plugin-simple-pyc-0.0.1-r0.apk2024-10-26 06:10 8.2K 
[   ]py3-more-properties-pyc-1.1.1-r3.apk2024-10-26 06:10 8.2K 
[   ]php81-pspell-8.1.34-r1.apk2026-01-14 15:08 8.2K 
[   ]bootinfo-pyc-0.1.0-r4.apk2024-10-26 06:08 8.2K 
[   ]py3-openssh-wrapper-0.5_git20130425-r4.apk2024-10-26 06:10 8.2K 
[   ]wput-doc-0.6.2-r4.apk2024-10-26 06:11 8.2K 
[   ]perl-daemon-control-doc-0.001010-r2.apk2024-10-26 06:10 8.3K 
[   ]perl-syntax-operator-equ-0.10-r1.apk2025-06-30 18:25 8.3K 
[   ]boost1.89-timer-1.89.0-r1.apk2026-01-18 09:42 8.3K 
[   ]disfetch-3.7-r0.apk2024-10-26 06:08 8.3K 
[   ]ruby-dry-inflector-1.3.1-r0.apk2026-01-15 05:51 8.3K 
[   ]perl-data-visitor-doc-0.32-r0.apk2025-03-26 22:51 8.3K 
[   ]agrep-0.8.0-r2.apk2024-10-26 06:08 8.3K 
[   ]ghostty-fish-completion-1.2.3_git20260112-r1.apk2026-01-21 21:45 8.3K 
[   ]py3-ovos-translate-server-plugin-0.0.0-r0.apk2024-10-26 06:10 8.3K 
[   ]py3-eradicate-pyc-2.3.0-r2.apk2024-10-26 06:10 8.3K 
[   ]capsudo-doc-0.1.3-r0.apk2026-01-25 21:16 8.4K 
[   ]serie-doc-0.5.7-r0.apk2026-01-21 08:08 8.4K 
[   ]tmux-resurrect-doc-4.0.0-r0.apk2024-10-26 06:11 8.4K 
[   ]btpd-doc-0.16-r2.apk2024-10-26 06:08 8.4K 
[   ]py3-dotty-dict-1.3.1-r4.apk2024-10-26 06:10 8.4K 
[   ]py3-zope-i18nmessageid-pyc-8.2-r0.apk2025-12-25 23:29 8.4K 
[   ]limnoria-doc-20240828-r0.apk2024-10-26 06:09 8.4K 
[   ]microsocks-1.0.5-r0.apk2025-12-22 10:53 8.4K 
[   ]py3-landlock-1.0.0_pre4-r2.apk2024-10-26 06:10 8.4K 
[   ]py3-python-logstash-pyc-0.4.8-r4.apk2024-10-26 06:10 8.4K 
[   ]fcitx5-mozc-lang-2.32.5994.102.20251109-r0.apk2025-11-17 10:39 8.5K 
[   ]perl-dbix-introspector-doc-0.001005-r4.apk2024-10-26 06:10 8.5K 
[   ]lgogdownloader-doc-3.18-r0.apk2026-01-01 08:51 8.5K 
[   ]flatseal-doc-2.3.1-r0.apk2025-06-20 02:30 8.5K 
[   ]perl-dancer-plugin-passphrase-doc-2.0.1-r4.apk2024-10-26 06:10 8.5K 
[   ]foma-dev-0.10.0_git20240712-r0.apk2024-10-26 06:09 8.5K 
[   ]sshuttle-doc-1.1.2-r0.apk2024-10-26 06:10 8.5K 
[   ]py3-gsm0338-pyc-1.1.0-r0.apk2026-01-29 12:28 8.5K 
[   ]perl-term-ui-doc-0.50-r1.apk2024-10-26 06:10 8.5K 
[   ]cargo-update-doc-18.0.0-r0.apk2025-10-28 18:25 8.5K 
[   ]libirecovery-progs-1.3.0-r0.apk2025-10-10 04:38 8.5K 
[   ]perl-smart-comments-doc-1.06-r0.apk2025-07-08 04:02 8.5K 
[   ]php81-pecl-maxminddb-1.13.0-r0.apk2025-11-21 11:42 8.5K 
[   ]php82-pecl-maxminddb-1.13.0-r0.apk2025-11-21 11:42 8.5K 
[   ]s-dkim-sign-doc-0.6.2-r0.apk2024-10-26 06:10 8.5K 
[   ]py3-columnize-0.3.11-r4.apk2024-10-26 06:10 8.5K 
[   ]logc-0.5.0-r1.apk2025-06-14 02:19 8.5K 
[   ]formiko-doc-1.5.0-r1.apk2026-01-08 13:33 8.5K 
[   ]pounce-doc-3.1-r4.apk2025-09-13 12:00 8.5K 
[   ]py3-playsound-pyc-1.3.0-r1.apk2024-10-26 06:10 8.5K 
[   ]perl-moosex-methodattributes-0.32-r0.apk2025-03-26 22:51 8.6K 
[   ]finger-0.5-r0.apk2024-10-26 06:09 8.6K 
[   ]rsstail-2.2-r0.apk2025-02-13 18:17 8.6K 
[   ]prosody-mod-smacks-0.11_hg20201208-r0.apk2024-10-26 06:10 8.6K 
[   ]lua-dkjson-2.8-r0.apk2026-01-23 11:28 8.6K 
[   ]logc-dev-0.5.0-r1.apk2025-06-14 02:19 8.6K 
[   ]py3-python-logstash-0.4.8-r4.apk2024-10-26 06:10 8.6K 
[   ]certbot-dns-pdns-0.1.1-r1.apk2025-08-28 12:19 8.6K 
[   ]perl-catalyst-authentication-credential-http-1.018-r0.apk2025-04-04 16:38 8.6K 
[   ]py3-prefixed-pyc-0.9.0-r0.apk2025-08-29 03:59 8.6K 
[   ]rustic-bash-completion-0.9.3-r0.apk2024-10-26 06:10 8.6K 
[   ]createrepo_c-doc-1.1.4-r1.apk2025-12-31 07:57 8.6K 
[   ]buf-bash-completion-1.59.0-r2.apk2026-01-18 09:42 8.6K 
[   ]py3-ntplib-pyc-0.4.0-r5.apk2024-10-26 06:10 8.6K 
[   ]py3-vatnumber-pyc-1.2-r9.apk2024-10-26 06:10 8.6K 
[   ]tty-clock-2.3_git20240104-r0.apk2024-10-26 06:11 8.6K 
[   ]sqruff-doc-0.25.26-r0.apk2025-04-14 07:42 8.6K 
[   ]libcyaml-doc-1.4.2-r0.apk2024-10-26 06:09 8.6K 
[   ]perl-openapi-client-1.07-r0.apk2024-10-26 06:10 8.6K 
[   ]quodlibet-doc-4.7.1-r0.apk2025-09-17 05:53 8.7K 
[   ]sxcs-1.1.0-r0.apk2024-10-26 06:10 8.7K 
[   ]cvs-fast-export-tools-1.65-r0.apk2024-10-26 06:08 8.7K 
[   ]daktilo-doc-0.6.0-r0.apk2024-10-26 06:08 8.7K 
[   ]py3-cucumber-tag-expressions-6.1.1-r0.apk2024-12-02 07:13 8.7K 
[   ]fatresize-1.1.0-r1.apk2024-10-26 06:09 8.7K 
[   ]perl-sort-naturally-1.03-r4.apk2024-10-26 06:10 8.7K 
[   ]py3-dotty-dict-pyc-1.3.1-r4.apk2024-10-26 06:10 8.7K 
[   ]mediastreamer2-plugin-x264-20200722-r6.apk2024-10-26 06:10 8.7K 
[   ]perl-catalyst-plugin-static-simple-0.37-r0.apk2025-03-26 22:51 8.7K 
[   ]py3-uptime-pyc-3.0.1-r9.apk2024-10-26 06:10 8.7K 
[   ]py3-certauth-1.3.0-r1.apk2024-10-26 06:10 8.7K 
[   ]py3-class-doc-pyc-1.25-r1.apk2024-10-26 06:10 8.7K 
[   ]py3-sphinxcontrib-nwdiag-2.0.0-r7.apk2024-10-26 06:10 8.7K 
[   ]fxload-2008.10.13-r0.apk2025-12-07 10:07 8.7K 
[   ]php82-enchant-8.2.30-r2.apk2026-01-14 15:08 8.7K 
[   ]h4h5tools-dev-2.2.5-r4.apk2024-10-26 06:09 8.8K 
[   ]perl-constant-generate-0.17-r5.apk2024-10-26 06:10 8.8K 
[   ]php81-enchant-8.1.34-r1.apk2026-01-14 15:08 8.8K 
[   ]pinentry-bemenu-0.14.0-r1.apk2025-07-06 11:16 8.8K 
[   ]py3-translationstring-pyc-1.4-r4.apk2024-10-26 06:10 8.8K 
[   ]imrsh-0_git20210320-r1.apk2024-10-26 06:09 8.8K 
[   ]vcsh-2.0.5-r0.apk2024-10-26 06:11 8.8K 
[   ]py3-ovos-phal-plugin-connectivity-events-0.1.2-r0.apk2025-07-16 07:03 8.8K 
[   ]py3-quebra-frases-0.3.7-r1.apk2024-10-26 06:10 8.8K 
[   ]ipp-usb-doc-0.9.30-r6.apk2026-01-18 09:42 8.8K 
[   ]py3-sphinx-theme-readable-1.3.0-r9.apk2024-10-26 06:10 8.8K 
[   ]perl-data-validate-ip-0.31-r1.apk2024-10-26 06:10 8.8K 
[   ]perl-session-storage-secure-1.000-r2.apk2024-10-26 06:10 8.8K 
[   ]ccze-doc-0.2.1-r1.apk2024-10-26 06:08 8.8K 
[   ]rofi-pass-2.0.2-r2.apk2024-10-26 06:10 8.8K 
[   ]nsnake-3.0.0-r0.apk2024-10-26 06:10 8.8K 
[   ]libmdbx-doc-0.11.8-r0.apk2024-10-26 06:09 8.8K 
[   ]py3-phpserialize-1.3-r8.apk2024-10-26 06:10 8.9K 
[   ]tdrop-doc-0.5.0-r0.apk2024-10-26 06:10 8.9K 
[   ]libucl-doc-0.9.0-r0.apk2024-10-26 06:09 8.9K 
[   ]py3-print-color-0.4.6-r0.apk2024-10-26 06:10 8.9K 
[   ]py3-ovos-microphone-plugin-alsa-0.1.2-r0.apk2025-07-16 07:03 8.9K 
[   ]perl-net-curl-promiser-0.20-r0.apk2024-10-26 06:10 8.9K 
[   ]perl-string-escape-2010.002-r0.apk2025-04-03 04:03 8.9K 
[   ]py3-ovos-ocp-news-plugin-pyc-0.1.1-r0.apk2025-07-16 07:03 8.9K 
[   ]perl-autobox-doc-3.0.2-r1.apk2025-06-30 18:25 8.9K 
[   ]perl-musicbrainz-discid-0.06-r2.apk2025-06-30 18:25 8.9K 
[   ]pamtester-0.1.2-r4.apk2024-10-26 06:10 8.9K 
[   ]py3-pylru-pyc-1.2.1-r1.apk2024-10-26 06:10 8.9K 
[   ]py3-thefuzz-pyc-0.22.1-r1.apk2024-10-26 06:10 8.9K 
[   ]ruby-hashdiff-1.2.1-r0.apk2025-10-21 15:18 9.0K 
[   ]libwbxml-dev-0.11.8-r0.apk2024-10-26 06:09 9.0K 
[   ]py3-forbiddenfruit-0.1.4-r2.apk2024-10-26 06:10 9.0K 
[   ]perl-carp-assert-more-2.9.0-r0.apk2025-04-14 07:28 9.0K 
[   ]py3-keepalive-0.5-r5.apk2024-10-26 06:10 9.0K 
[   ]afetch-2.2.0-r1.apk2024-10-26 06:08 9.0K 
[   ]pam_sqlite3-1.0.2-r2.apk2024-10-26 06:10 9.0K 
[   ]t2sz-1.1.2-r0.apk2024-10-26 06:10 9.0K 
[   ]fff-doc-2.2-r0.apk2024-10-26 06:09 9.0K 
[   ]lua5.2-luastatic-0.0.12-r1.apk2024-10-26 06:09 9.0K 
[   ]jdupes-doc-1.28.0-r0.apk2024-10-26 06:09 9.0K 
[   ]pspp-doc-2.0.1-r1.apk2025-10-10 04:38 9.0K 
[   ]cargo-shuttle-doc-0.56.6-r0.apk2025-07-29 18:56 9.0K 
[   ]terminology-doc-1.14.0-r0.apk2025-05-28 09:49 9.0K 
[   ]lua5.3-luastatic-0.0.12-r1.apk2024-10-26 06:09 9.0K 
[   ]py3-sphinxcontrib-adadomain-0.2-r9.apk2024-10-26 06:10 9.0K 
[   ]md5ha1-0_git20171202-r1.apk2024-10-26 06:10 9.0K 
[   ]linux-openvfd-0_git20220906-r0.apk2024-10-26 06:09 9.0K 
[   ]perl-number-format-doc-1.76-r1.apk2024-10-26 06:10 9.0K 
[   ]innernet-doc-1.6.1-r0.apk2024-10-26 06:09 9.0K 
[   ]freediameter-1.5.0-r1.apk2024-10-26 06:09 9.0K 
[   ]icingaweb2-module-pnp-1.1.0-r1.apk2024-10-26 06:09 9.0K 
[   ]cargo-shuttle-fish-completion-0.56.6-r0.apk2025-07-29 18:56 9.0K 
[   ]bindfs-doc-1.17.7-r1.apk2025-06-19 19:44 9.1K 
[   ]lua5.4-luastatic-0.0.12-r1.apk2024-10-26 06:09 9.1K 
[   ]gatling-doc-0.16-r6.apk2024-10-26 06:09 9.1K 
[   ]perl-catalyst-view-email-0.36-r0.apk2025-04-04 20:43 9.1K 
[   ]duc-doc-1.4.5-r0.apk2024-10-26 06:09 9.1K 
[   ]py3-certauth-pyc-1.3.0-r1.apk2024-10-26 06:10 9.1K 
[   ]py3-dweepy-0.3.0-r7.apk2024-10-26 06:10 9.1K 
[   ]perl-net-async-redis-xs-1.001-r2.apk2025-06-30 18:25 9.1K 
[   ]py3-sphinxcontrib-fulltoc-1.2.0-r8.apk2024-10-26 06:10 9.1K 
[   ]perl-uri-find-doc-20160806-r0.apk2025-03-26 22:51 9.1K 
[   ]lua-inet-0.2.0-r1.apk2024-10-26 06:09 9.1K 
[   ]click-dev-0.5.2-r4.apk2025-02-18 02:07 9.1K 
[   ]lomiri-trust-store-dev-2.0.2-r14.apk2025-12-19 01:26 9.1K 
[   ]ssh-honeypot-0.1.1-r1.apk2024-10-26 06:10 9.1K 
[   ]py3-sphinxcontrib-blockdiag-pyc-3.0.0-r4.apk2024-10-26 06:10 9.2K 
[   ]py3-translationstring-1.4-r4.apk2024-10-26 06:10 9.2K 
[   ]hurl-doc-7.1.0-r0.apk2025-12-06 05:41 9.2K 
[   ]perl-file-find-object-0.3.9-r0.apk2025-07-12 17:30 9.2K 
[   ]py3-pymsgbox-1.0.9-r5.apk2024-10-26 06:10 9.2K 
[   ]py3-pytest-textual-snapshot-1.1.0-r0.apk2025-08-09 00:48 9.2K 
[   ]py3-telegram-text-0.2.0-r1.apk2024-10-26 06:10 9.2K 
[   ]py3-netifaces2-pyc-0.0.22-r0.apk2024-10-26 06:10 9.2K 
[   ]perl-statistics-basic-1.6611-r0.apk2024-10-26 06:10 9.2K 
[   ]py3-aiohttp-jinja2-pyc-1.6-r2.apk2024-10-26 06:10 9.2K 
[   ]py3-google-trans-new-1.1.9-r2.apk2024-10-26 06:10 9.2K 
[   ]catdoc-doc-0.95-r1.apk2024-10-26 06:08 9.2K 
[   ]libiscsi-doc-1.19.0-r2.apk2024-10-26 06:09 9.3K 
[   ]perl-class-c3-doc-0.35-r1.apk2024-10-26 06:10 9.3K 
[   ]py3-sphinxcontrib-actdiag-pyc-3.0.0-r4.apk2024-10-26 06:10 9.3K 
[   ]certbot-dns-njalla-2.0.0-r0.apk2024-11-28 10:05 9.3K 
[   ]py3-sphinxcontrib-seqdiag-pyc-3.0.0-r5.apk2024-10-26 06:10 9.3K 
[   ]perl-database-async-engine-postgresql-doc-1.005-r0.apk2024-10-26 06:10 9.3K 
[   ]buildbot-badges-4.3.0-r1.apk2026-01-24 02:51 9.3K 
[   ]console_bridge-1.0.2-r1.apk2026-01-28 17:19 9.3K 
[   ]kerberoast-0.2.0-r2.apk2025-05-29 23:00 9.3K 
[   ]py3-protego-0.3.1-r0.apk2024-12-01 07:18 9.3K 
[   ]pokoy-0.2.5-r0.apk2024-10-26 06:10 9.3K 
[   ]py3-python-archive-pyc-0.2-r7.apk2024-10-26 06:10 9.4K 
[   ]mktorrent-borg-0.9.9-r1.apk2024-10-26 06:10 9.4K 
[   ]sflowtool-doc-6.02-r0.apk2024-10-26 06:10 9.4K 
[   ]icesprog-0_git20240108-r1.apk2024-10-26 06:09 9.4K 
[   ]py3-confusable-homoglyphs-pyc-3.3.1-r0.apk2025-09-26 23:14 9.4K 
[   ]supermin-doc-5.2.2-r2.apk2024-10-26 06:10 9.4K 
[   ]py3-nose-timer-1.0.1-r6.apk2024-10-26 06:10 9.4K 
[   ]openswitcher-proxy-0.5.0-r4.apk2024-10-26 06:10 9.4K 
[   ]nbsdgames-doc-5-r0.apk2024-10-26 06:10 9.4K 
[   ]wiremix-doc-0.7.0-r0.apk2025-08-22 23:01 9.4K 
[   ]zot-doc-2.1.8-r3.apk2026-01-18 09:42 9.4K 
[   ]x11docker-doc-7.6.0-r1.apk2024-10-26 06:11 9.4K 
[   ]lua5.2-ubus-2025.10.17-r0.apk2025-10-25 23:56 9.4K 
[   ]py3-pyisbn-pyc-1.3.1-r3.apk2024-10-26 06:10 9.4K 
[   ]lua5.1-ubus-2025.10.17-r0.apk2025-10-25 23:56 9.4K 
[   ]py3-natpmp-1.3.2-r1.apk2024-10-26 06:10 9.4K 
[   ]visidata-zsh-completion-3.3-r0.apk2025-09-16 00:56 9.4K 
[   ]perl-css-inliner-doc-4027-r0.apk2025-06-16 22:50 9.4K 
[   ]apt-mirror-0.5.4-r0.apk2024-10-26 06:08 9.4K 
[   ]perl-moosex-nonmoose-doc-0.27-r1.apk2025-06-19 21:55 9.4K 
[   ]avarice-doc-2.14-r4.apk2024-10-26 06:08 9.4K 
[   ]py3-intervals-0.9.2-r5.apk2024-10-26 06:10 9.4K 
[   ]perl-class-c3-0.35-r1.apk2024-10-26 06:10 9.5K 
[   ]perl-dbix-class-candy-doc-0.005004-r0.apk2024-10-30 16:59 9.5K 
[   ]wl-screenrec-doc-0.1.7-r1.apk2025-08-28 03:38 9.5K 
[   ]perl-b-utils-doc-0.27-r1.apk2025-06-30 18:25 9.5K 
[   ]py3-lib_users-pyc-0.15-r4.apk2024-10-26 06:10 9.5K 
[   ]py3-ovos-tts-server-plugin-0.0.2_alpha13-r1.apk2024-10-26 06:10 9.5K 
[   ]libfishsound-1.0.0-r1.apk2024-10-26 06:09 9.5K 
[   ]py3-landlock-pyc-1.0.0_pre4-r2.apk2024-10-26 06:10 9.5K 
[   ]py3-jaraco.path-pyc-3.7.2-r0.apk2024-10-26 06:10 9.5K 
[   ]yoe-kiosk-browser-0_git20231118-r0.apk2024-10-26 06:11 9.5K 
[   ]lomiri-indicator-network-dev-1.1.2-r0.apk2025-09-08 12:00 9.5K 
[   ]ufw-docker-251123-r0.apk2026-01-04 11:59 9.6K 
[   ]s-postgray-doc-0.8.3-r0.apk2024-10-26 06:10 9.6K 
[   ]php82-pecl-uploadprogress-doc-2.0.2-r2.apk2025-10-24 13:09 9.6K 
[   ]atool-doc-0.39.0-r4.apk2024-10-26 06:08 9.6K 
[   ]libsds-2.0.0-r1.apk2024-10-26 06:09 9.6K 
[   ]amdgpu-fan-pyc-0.1.0-r5.apk2024-10-26 06:08 9.6K 
[   ]irccd-dev-4.0.3-r0.apk2024-10-26 06:09 9.6K 
[   ]py3-forbiddenfruit-pyc-0.1.4-r2.apk2024-10-26 06:10 9.7K 
[   ]py3-ovos-phal-plugin-oauth-pyc-0.1.3-r0.apk2024-11-22 00:31 9.7K 
[   ]rygel-doc-45.1-r0.apk2026-01-06 02:35 9.7K 
[   ]libuecc-7-r4.apk2025-03-04 03:40 9.7K 
[   ]keystone-python-pyc-0.9.2-r6.apk2024-10-26 06:09 9.7K 
[   ]py3-mnemonic-pyc-0.21-r0.apk2024-10-26 06:10 9.7K 
[   ]perl-dancer-plugin-passphrase-2.0.1-r4.apk2024-10-26 06:10 9.7K 
[   ]py3-itunespy-1.6-r5.apk2025-05-15 05:17 9.7K 
[   ]libcotp-3.1.1-r0.apk2025-10-04 18:13 9.7K 
[   ]py3-synapse-auto-accept-invite-1.2.0-r1.apk2025-08-10 12:01 9.8K 
[   ]py3-pep8-naming-0.14.1-r0.apk2024-10-26 06:10 9.8K 
[   ]perl-data-visitor-0.32-r0.apk2025-03-26 22:51 9.8K 
[   ]py3-clickclick-pyc-20.10.2-r4.apk2024-10-26 06:10 9.8K 
[   ]noice-0.8-r1.apk2024-10-26 06:10 9.8K 
[   ]php81-pecl-pcov-1.0.12-r0.apk2024-12-05 04:17 9.8K 
[   ]perl-io-handle-util-doc-0.02-r0.apk2025-06-12 03:34 9.8K 
[   ]perl-freezethaw-0.5001-r3.apk2025-10-18 21:32 9.8K 
[   ]pneink-theme-1.3-r0.apk2025-07-30 11:32 9.8K 
[   ]lua5.2-libmodbus-0.6.1-r0.apk2024-10-26 06:09 9.8K 
[   ]py3-pymsgbox-pyc-1.0.9-r5.apk2024-10-26 06:10 9.8K 
[   ]lua5.1-libmodbus-0.6.1-r0.apk2024-10-26 06:09 9.9K 
[   ]py3-natpmp-pyc-1.3.2-r1.apk2024-10-26 06:10 9.9K 
[   ]perl-test-modern-doc-0.013-r3.apk2024-10-26 06:10 9.9K 
[   ]apt-dater-doc-1.0.4-r4.apk2024-10-26 06:08 9.9K 
[   ]thunarx-python-0.5.2-r2.apk2024-10-26 06:11 9.9K 
[   ]perl-data-clone-0.006-r1.apk2025-06-30 18:25 9.9K 
[   ]libvoikko-dev-4.3.2-r1.apk2024-10-26 06:09 9.9K 
[   ]py3-typing_inspect-0.9.0-r2.apk2024-10-26 06:10 9.9K 
[   ]py3-nose-timer-pyc-1.0.1-r6.apk2024-10-26 06:10 9.9K 
[   ]py3-proglog-pyc-0.1.10-r2.apk2024-10-26 06:10 9.9K 
[   ]perl-html-tableextract-doc-2.15-r4.apk2024-10-26 06:10 9.9K 
[   ]php81-pecl-uploadprogress-doc-2.0.2-r1.apk2024-10-26 06:10 9.9K 
[   ]handlebars-utils-1.0.0-r1.apk2024-10-26 06:09 9.9K 
[   ]perl-math-libm-1.00-r15.apk2025-06-30 18:25 9.9K 
[   ]tree-sitter-git-diff-0_git20230730-r1.apk2025-07-26 03:54 9.9K 
[   ]nautilus-python-4.0.1-r0.apk2025-10-13 08:54 10K 
[   ]ovos-messagebus-0.0.10-r0.apk2025-04-08 18:43 10K 
[   ]perl-netaddr-mac-0.99-r0.apk2025-12-21 18:46 10K 
[   ]php82-pecl-pcov-1.0.12-r0.apk2025-10-24 13:09 10K 
[   ]py3-ovos-audio-plugin-simple-0.0.1-r0.apk2024-10-26 06:10 10K 
[   ]mrsh-dev-0_git20210518-r1.apk2024-10-26 06:10 10K 
[   ]cargo-show-asm-doc-0.2.55-r0.apk2026-01-23 21:54 10K 
[   ]perl-term-ui-0.50-r1.apk2024-10-26 06:10 10K 
[   ]py3-uptime-3.0.1-r9.apk2024-10-26 06:10 10K 
[   ]wpa_actiond-1.4-r7.apk2024-10-26 06:11 10K 
[   ]perl-starman-doc-0.4017-r0.apk2024-10-26 06:10 10K 
[   ]py3-thefuzz-0.22.1-r1.apk2024-10-26 06:10 10K 
[   ]apache2-mod-authnz-external-doc-3.3.3-r0.apk2024-10-26 06:08 10K 
[   ]py3-aiohttp-remotes-1.3.0-r0.apk2024-11-04 23:28 10K 
[   ]py3-colorthief-pyc-0.2.1-r1.apk2024-10-26 06:10 10K 
[   ]py3-minidb-2.0.8-r0.apk2024-11-14 06:44 10K 
[   ]py3-ovos-phal-plugin-system-pyc-1.3.3-r0.apk2025-07-16 03:49 10K 
[   ]netsed-1.4-r0.apk2025-08-18 21:15 10K 
[   ]minikube-bash-completion-1.34.0-r11.apk2026-01-21 12:29 10K 
[   ]pixi-fish-completion-0.24.2-r0.apk2024-10-26 06:10 10K 
[   ]perl-test-www-mechanize-doc-1.60-r0.apk2025-04-14 07:28 10K 
[   ]py3-ovos-stt-plugin-server-0.0.4_alpha4-r1.apk2024-10-26 06:10 10K 
[   ]flowd-doc-0.9.1-r11.apk2025-06-30 18:25 10K 
[   ]ansible-bender-doc-0.10.1-r2.apk2024-10-26 06:08 10K 
[   ]py3-pygtail-pyc-0.14.0-r3.apk2024-10-26 06:10 10K 
[   ]pick-4.0.0-r0.apk2024-10-26 06:10 10K 
[   ]perl-html-query-doc-0.09-r0.apk2025-06-16 22:50 10K 
[   ]certbot-dns-hetzner-2.0.1-r1.apk2025-10-10 04:37 10K 
[   ]knative-client-bash-completion-1.19.6-r2.apk2026-01-18 09:42 10K 
[   ]linuxptp-phc_ctl-4.4-r0.apk2024-11-20 11:45 10K 
[   ]php81-pecl-csv-0.4.3-r0.apk2025-02-26 02:45 10K 
[   ]ifuse-1.1.4-r5.apk2024-10-31 09:44 10K 
[   ]php84-pecl-csv-0.4.3-r0.apk2025-02-26 02:45 10K 
[   ]py3-jaraco.vcs-2.4.1-r0.apk2025-03-11 14:55 10K 
[   ]py3-pytest-metadata-3.1.1-r0.apk2024-10-26 06:10 10K 
[   ]py3-bottle-session-1.0-r6.apk2024-10-26 06:10 10K 
[   ]nullmailer-doc-2.2-r4.apk2024-10-26 06:10 10K 
[   ]php82-bz2-8.2.30-r2.apk2026-01-14 15:08 10K 
[   ]cgo-0.6.1-r1.apk2024-10-26 06:08 10K 
[   ]opkg-0.7.0-r0.apk2024-10-26 06:10 10K 
[   ]sway-audio-idle-inhibit-0.1.2-r0.apk2024-10-26 06:10 10K 
[   ]php81-bz2-8.1.34-r1.apk2026-01-14 15:08 10K 
[   ]py3-sphinxcontrib-mermaid-1.0.0-r0.apk2025-05-08 23:22 10K 
[   ]linuxkit-doc-1.8.2-r2.apk2026-01-18 09:42 10K 
[   ]py3-glob2-0.7-r6.apk2024-10-26 06:10 10K 
[   ]kumactl-bash-completion-2.10.1-r7.apk2026-01-18 09:42 10K 
[   ]py3-sstash-pyc-0.17-r9.apk2024-10-26 06:10 10K 
[   ]perl-full-doc-1.004-r0.apk2024-10-26 06:10 10K 
[   ]py3-tls_parser-2.0.2-r0.apk2025-07-14 18:46 10K 
[   ]alttab-doc-1.7.1-r0.apk2024-10-26 06:08 10K 
[   ]perl-catalyst-plugin-configloader-doc-0.35-r0.apk2025-03-26 22:51 10K 
[   ]shntool-doc-3.0.10-r6.apk2026-01-18 09:42 10K 
[   ]py3-iniparse-doc-0.5-r7.apk2024-10-26 06:10 10K 
[   ]uclient-fetch-20251003-r0.apk2026-01-06 06:39 10K 
[   ]perl-pod-tidy-0.10-r1.apk2024-10-26 06:10 10K 
[   ]perl-clipboard-0.32-r1.apk2025-10-14 03:00 10K 
[   ]py3-cucumber-tag-expressions-pyc-6.1.1-r0.apk2024-12-02 07:13 10K 
[   ]py3-openssh-wrapper-pyc-0.5_git20130425-r4.apk2024-10-26 06:10 10K 
[   ]pixi-zsh-completion-0.24.2-r0.apk2024-10-26 06:10 10K 
[   ]perl-text-worddiff-0.09-r0.apk2025-06-10 03:31 10K 
[   ]py3-aiohttp-session-2.12.1-r0.apk2024-10-26 06:10 10K 
[   ]lomiri-schemas-0.1.10-r0.apk2026-01-26 08:15 10K 
[   ]arj-doc-0_git20220125-r1.apk2024-10-26 06:08 10K 
[   ]nvim-cmp-doc-0.0.0_git20221011-r1.apk2024-10-26 06:10 10K 
[   ]py3-bencode-pyc-4.0.0-r1.apk2024-10-26 06:10 10K 
[   ]ovos-phal-0.2.10-r0.apk2025-07-16 06:30 10K 
[   ]fatrace-0.18.0-r0.apk2025-07-28 06:28 10K 
[   ]perl-log-fu-0.31-r4.apk2024-10-26 06:10 11K 
[   ]py3-pytest-textual-snapshot-pyc-1.1.0-r0.apk2025-08-09 00:48 11K 
[   ]py3-sphinx-theme-better-0.1.5-r7.apk2024-10-26 06:10 11K 
[   ]perl-math-int64-doc-0.57-r2.apk2025-06-30 18:25 11K 
[   ]py3-sphinxcontrib-inheritance-0.9.0-r9.apk2024-10-26 06:10 11K 
[   ]perl-pod-tidy-doc-0.10-r1.apk2024-10-26 06:10 11K 
[   ]py3-sphinxcontrib-htsql-0.1.5-r8.apk2024-10-26 06:10 11K 
[   ]predoc-doc-0.2.3-r0.apk2026-01-25 00:59 11K 
[   ]lolcat-1.4-r0.apk2024-10-26 06:09 11K 
[   ]pd-mapper-1.1-r0.apk2026-01-13 11:01 11K 
[   ]ydcv-pyc-0.7-r8.apk2024-10-26 06:11 11K 
[   ]py3-google-trans-new-pyc-1.1.9-r2.apk2024-10-26 06:10 11K 
[   ]py3-flask-httpauth-pyc-4.8.0-r3.apk2025-11-29 22:38 11K 
[   ]py3-sphinxcontrib-lassodomain-pyc-0.4-r8.apk2024-10-26 06:10 11K 
[   ]perl-log-message-0.08-r3.apk2024-10-26 06:10 11K 
[   ]wch-isp-0.4.1-r2.apk2024-10-26 06:11 11K 
[   ]perl-io-handle-util-0.02-r0.apk2025-06-12 03:34 11K 
[   ]py3-py-radix-pyc-0.10.0-r11.apk2025-12-31 08:01 11K 
[   ]py3-businesstime-0.3.0-r9.apk2024-10-26 06:10 11K 
[   ]qstardict-doc-2.0.2-r1.apk2024-11-24 18:46 11K 
[   ]cm256cc-1.1.1-r1.apk2025-02-09 10:46 11K 
[   ]neard-dev-0.19-r1.apk2026-01-19 06:02 11K 
[   ]py3-sortedcollections-2.1.0-r5.apk2024-10-26 06:10 11K 
[   ]hare-irc-0.25.2.0-r0.apk2025-06-25 18:24 11K 
[   ]psi-notify-1.3.1-r0.apk2024-10-26 06:10 11K 
[   ]perl-catalystx-simplelogin-0.21-r0.apk2025-05-07 18:38 11K 
[   ]py3-pydes-2.0.1-r5.apk2024-10-26 06:10 11K 
[   ]py3-flask-flatpages-0.8.3-r0.apk2024-12-07 09:59 11K 
[   ]py3-phpserialize-pyc-1.3-r8.apk2024-10-26 06:10 11K 
[   ]py3-riotctrl-pyc-0.5.0-r4.apk2024-10-26 06:10 11K 
[   ]snapper-dev-0.13.0-r0.apk2025-09-17 05:45 11K 
[   ]fff-2.2-r0.apk2024-10-26 06:09 11K 
[   ]toapk-1.0-r0.apk2024-10-26 06:11 11K 
[   ]perl-catalyst-view-email-doc-0.36-r0.apk2025-04-04 20:43 11K 
[   ]py3-click-completion-0.5.2-r1.apk2024-10-26 06:10 11K 
[   ]perl-net-amqp-rabbitmq-doc-2.40014-r1.apk2025-11-21 10:47 11K 
[   ]icingaweb2-module-fileshipper-1.2.0-r3.apk2024-10-26 06:09 11K 
[   ]turn-rs-doc-3.4.0-r1.apk2025-06-13 02:22 11K 
[   ]scenefx-dev-0.4.1-r0.apk2025-12-21 10:13 11K 
[   ]chasquid-doc-1.17.0-r1.apk2026-01-18 09:42 11K 
[   ]ruby-appraisal-2.5.0-r0.apk2025-05-26 10:52 11K 
[   ]py3-simple-websocket-1.1.0-r0.apk2025-09-07 03:20 11K 
[   ]py3-flask-bootstrap-pyc-3.3.7.1-r9.apk2025-05-14 09:00 11K 
[   ]libetebase-dev-0.5.8-r0.apk2025-09-28 06:40 11K 
[   ]py3-u-msgpack-2.8.0-r2.apk2024-10-26 06:10 11K 
[   ]py3-requests-kerberos-pyc-0.15.0-r0.apk2024-12-02 04:24 11K 
[   ]mkdocs-ivory-0.4.6-r5.apk2024-10-26 06:10 11K 
[   ]py3-rabbit-1.1.0-r8.apk2024-10-26 06:10 11K 
[   ]thumbdrives-0.3.2-r2.apk2024-10-26 06:11 11K 
[   ]py3-ovos-phal-plugin-system-1.3.3-r0.apk2025-07-16 03:49 11K 
[   ]php82-pecl-jsmin-3.0.0-r0.apk2024-10-26 06:10 11K 
[   ]php81-pecl-jsmin-3.0.0-r0.apk2024-10-26 06:10 11K 
[   ]php83-pecl-jsmin-3.0.0-r0.apk2024-10-26 06:10 11K 
[   ]py3-dataclasses-serialization-1.3.1-r3.apk2024-10-26 06:10 11K 
[   ]py3-blockchain-1.4.4-r7.apk2024-10-26 06:10 11K 
[   ]screenkey-doc-1.5-r7.apk2025-07-23 15:04 11K 
[   ]perl-getopt-long-descriptive-doc-0.116-r0.apk2024-12-31 20:39 11K 
[   ]evolution-on-3.24.4-r1.apk2025-09-19 04:36 11K 
[   ]perl-xml-xpathengine-doc-0.14-r0.apk2025-07-09 03:54 11K 
[   ]py3-ovos-ocp-news-plugin-0.1.1-r0.apk2025-07-16 07:03 11K 
[   ]py3-sphinxcontrib-issuetracker-0.11-r7.apk2024-10-26 06:10 11K 
[   ]lomiri-history-service-dev-0.6-r21.apk2026-01-15 06:46 11K 
[   ]comrak-doc-0.50.0-r0.apk2026-01-22 23:39 11K 
[   ]tick-1.2.3-r0.apk2025-10-10 04:38 11K 
[   ]py3-vdf-3.4-r2.apk2025-10-17 09:02 11K 
[   ]py3-downloader-cli-0.3.4-r2.apk2025-05-15 05:17 11K 
[   ]py3-sphinxcontrib-nwdiag-pyc-2.0.0-r7.apk2024-10-26 06:10 11K 
[   ]py3-pam-2.0.2-r2.apk2024-10-26 06:10 11K 
[   ]py3-flask-paginate-pyc-0.8.1-r6.apk2024-10-26 06:10 11K 
[   ]nuzzle-1.6-r0.apk2025-01-26 17:27 11K 
[   ]lomiri-content-hub-dev-2.2.2-r0.apk2026-01-26 08:15 11K 
[   ]py3-ovos-phal-plugin-network-manager-pyc-1.3.2-r0.apk2024-11-22 00:31 11K 
[   ]kmscon-doc-9.2.1-r0.apk2026-01-10 03:02 11K 
[   ]php82-posix-8.2.30-r2.apk2026-01-14 15:08 11K 
[   ]py3-itemadapter-0.10.0-r0.apk2024-12-01 07:42 11K 
[   ]php81-posix-8.1.34-r1.apk2026-01-14 15:08 11K 
[   ]php81-xmlwriter-8.1.34-r1.apk2026-01-14 15:08 11K 
[   ]php82-xmlwriter-8.2.30-r2.apk2026-01-14 15:08 11K 
[   ]roll-doc-2.6.1-r1.apk2025-10-14 03:00 11K 
[   ]hsetroot-1.0.5-r1.apk2024-10-26 06:09 11K 
[   ]pwvucontrol-lang-0.5.0-r0.apk2025-10-21 07:14 11K 
[   ]py3-sphinxcontrib-restbuilder-0.3-r6.apk2024-10-26 06:10 11K 
[   ]buildbot-grid-view-4.3.0-r1.apk2026-01-24 02:51 11K 
[   ]libdng-0.2.1-r0.apk2024-12-28 09:09 11K 
[   ]lrcalc-dev-2.1-r1.apk2024-10-26 06:09 11K 
[   ]py3-sphinxcontrib-phpdomain-0.13.0-r0.apk2025-07-13 03:01 11K 
[   ]minikube-zsh-completion-1.34.0-r11.apk2026-01-21 12:29 11K 
[   ]perl-catalyst-devel-doc-1.42-r0.apk2025-03-26 22:51 11K 
[   ]mm-dev-1.4.2-r1.apk2024-10-26 06:10 11K 
[   ]apache2-mod-maxminddb-1.2.0-r0.apk2025-05-19 21:23 11K 
[   ]dasht-doc-2.4.0-r0.apk2024-10-26 06:08 11K 
[   ]py3-ovos-tts-plugin-piper-pyc-0.0.1-r0.apk2024-10-26 06:10 11K 
[   ]perl-test-file-1.995-r0.apk2025-04-20 04:55 11K 
[   ]libecap-dev-1.0.1-r1.apk2024-10-26 06:09 11K 
[   ]extrace-0.9-r0.apk2024-10-26 06:09 11K 
[   ]lizardfs-doc-3.13.0-r17.apk2025-06-19 19:44 11K 
[   ]py3-padacioso-0.2.1-r0.apk2024-10-26 06:10 11K 
[   ]belcard-dev-5.3.105-r0.apk2025-02-25 23:48 11K 
[   ]py3-halo-0.0.31-r5.apk2024-10-26 06:10 11K 
[   ]perl-xml-bare-doc-0.53-r14.apk2025-06-30 18:25 11K 
[   ]setroot-2.0.2-r1.apk2024-10-26 06:10 11K 
[   ]lua-markdown-0.33-r0.apk2026-01-23 11:17 11K 
[   ]py3-ovos-ww-plugin-vosk-0.1.7-r0.apk2025-07-16 06:30 11K 
[   ]lumina-desktop-doc-1.6.2-r0.apk2024-10-26 06:09 12K 
[   ]py3-pygelbooru-pyc-1.0.0-r0.apk2025-07-30 15:28 12K 
[   ]restart-services-0.17.0-r0.apk2024-10-26 06:10 12K 
[   ]py3-libpyshell-0.4.1-r1.apk2025-06-10 20:40 12K 
[   ]gnome-common-3.18.0-r3.apk2024-10-26 06:09 12K 
[   ]xlhtml-0.5.1-r0.apk2024-10-26 06:11 12K 
[   ]ddgr-doc-2.2-r0.apk2024-10-26 06:08 12K 
[   ]py3-protego-pyc-0.3.1-r0.apk2024-12-01 07:18 12K 
[   ]java-jtharness-doc-6.0_p12-r0.apk2024-10-26 06:09 12K 
[   ]autoremove-torrents-doc-1.5.5-r0.apk2024-10-26 06:08 12K 
[   ]py3-highctidh-pyc-1.0.2024092800-r0.apk2024-11-26 07:23 12K 
[   ]ptylie-0.2-r2.apk2025-05-14 09:00 12K 
[   ]py3-ioctl-opt-1.3-r0.apk2025-01-28 08:37 12K 
[   ]minidyndns-1.3.0-r3.apk2024-10-26 06:10 12K 
[   ]perl-net-curl-promiser-doc-0.20-r0.apk2024-10-26 06:10 12K 
[   ]py3-x-wr-timezone-2.0.1-r0.apk2025-02-10 02:13 12K 
[   ]octoprint-filecheck-pyc-2025.7.23-r0.apk2026-01-05 01:26 12K 
[   ]py3-bson-0.5.10-r6.apk2024-10-26 06:10 12K 
[   ]py3-wgconfig-pyc-1.1.0-r0.apk2025-01-29 17:50 12K 
[   ]stgit-fish-completion-2.4.7-r1.apk2024-10-26 06:10 12K 
[   ]py3-openapi-codec-pyc-1.3.2-r9.apk2024-10-26 06:10 12K 
[   ]py3-r2pipe-5.9.0-r0.apk2025-12-30 08:20 12K 
[   ]py3-recommonmark-0.7.1-r4.apk2024-10-26 06:10 12K 
[   ]py3-virtualenvwrapper-pyc-6.1.1-r1.apk2025-12-18 22:02 12K 
[   ]py3-rofi-pyc-1.0.1-r1.apk2025-08-10 12:01 12K 
[   ]py3-simplesoapy-pyc-1.5.1-r7.apk2024-10-26 06:10 12K 
[   ]tdrop-0.5.0-r0.apk2024-10-26 06:10 12K 
[   ]espeakup-0.90-r2.apk2024-10-26 06:09 12K 
[   ]libmygpo-qt-dev-1.1.0-r2.apk2024-10-26 06:09 12K 
[   ]php81-tokenizer-8.1.34-r1.apk2026-01-14 15:08 12K 
[   ]php82-tokenizer-8.2.30-r2.apk2026-01-14 15:08 12K 
[   ]py3-orderedmultidict-1.0.1-r7.apk2024-10-26 06:10 12K 
[   ]py3-simber-0.2.6-r5.apk2025-05-15 05:17 12K 
[   ]perl-test-roo-1.004-r3.apk2024-10-26 06:10 12K 
[   ]py3-ovos-phal-plugin-oauth-0.1.3-r0.apk2024-11-22 00:31 12K 
[   ]ovpncc-0.1_rc1-r0.apk2024-10-26 06:10 12K 
[   ]py3-sphinxcontrib-issuetracker-pyc-0.11-r7.apk2024-10-26 06:10 12K 
[   ]py3-git-versioner-7.1-r1.apk2024-10-26 06:10 12K 
[   ]vmtouch-1.3.1-r0.apk2024-10-26 06:11 12K 
[   ]mint-y-icons-doc-1.9.1-r0.apk2026-01-08 13:33 12K 
[   ]py3-sphinxcontrib-adadomain-pyc-0.2-r9.apk2024-10-26 06:10 12K 
[   ]perl-class-accessor-grouped-0.10014-r2.apk2024-10-26 06:10 12K 
[   ]pqiv-doc-2.12-r1.apk2024-10-26 06:10 12K 
[   ]py3-sphinxcontrib-plantuml-0.30-r0.apk2025-05-08 23:22 12K 
[   ]perl-cli-osprey-doc-0.08-r0.apk2024-12-28 15:54 12K 
[   ]perl-file-rename-doc-2.02-r0.apk2024-10-26 06:10 12K 
[   ]py3-requests-kerberos-0.15.0-r0.apk2024-12-02 04:24 12K 
[   ]perl-file-changenotify-0.31-r0.apk2025-03-26 22:51 12K 
[   ]mediastreamer2-plugin-openh264-5.2.0_git20231020-r1.apk2025-03-11 14:55 12K 
[   ]perl-catalyst-model-adaptor-doc-0.10-r0.apk2025-04-14 07:28 12K 
[   ]tldr-python-client-3.3.0-r0.apk2024-12-02 04:09 12K 
[   ]enjoy-0.3-r1.apk2024-10-26 06:09 12K 
[   ]jdebp-redo-doc-1.4-r1.apk2024-10-26 06:09 12K 
[   ]vim-airline-doc-0.11-r0.apk2024-10-26 06:11 12K 
[   ]ssss-0.5.7-r0.apk2024-10-26 06:10 12K 
[   ]perl-log-message-doc-0.08-r3.apk2024-10-26 06:10 12K 
[   ]py3-evalidate-pyc-2.1.3-r0.apk2025-12-17 02:13 12K 
[   ]ddserver-0_git20200930-r1.apk2024-10-26 06:08 12K 
[   ]py3-rofi-1.0.1-r1.apk2025-08-10 12:01 12K 
[   ]py3-rst2ansi-0.1.5-r0.apk2024-10-26 06:10 12K 
[   ]sdl3_image-dev-3.2.6-r0.apk2026-01-08 13:33 12K 
[   ]py3-wikipedia-1.4.0-r0.apk2025-09-14 17:33 12K 
[   ]py3-ovos-tts-plugin-piper-0.0.1-r0.apk2024-10-26 06:10 12K 
[   ]py3-iso639-lang-pyc-2.6.3-r0.apk2025-12-05 05:50 12K 
[   ]py3-padacioso-pyc-0.2.1-r0.apk2024-10-26 06:10 12K 
[   ]perl-smart-comments-1.06-r0.apk2025-07-08 04:02 12K 
[   ]py3-itemloaders-1.3.2-r1.apk2025-08-10 12:01 12K 
[   ]rgxg-doc-0.1.2-r2.apk2024-10-26 06:10 12K 
[   ]legume-doc-1.4.2-r15.apk2026-01-18 09:42 12K 
[   ]squeak-vm-doc-4.10.2.2614-r2.apk2025-10-10 04:38 12K 
[   ]py3-pymsteams-0.2.5-r0.apk2025-02-18 02:08 12K 
[   ]perl-cpan-audit-doc-20250829.001-r0.apk2025-09-07 03:20 12K 
[   ]py3-janus-1.2.0-r0.apk2024-12-13 15:57 12K 
[   ]toss-1.1-r1.apk2025-05-29 23:00 12K 
[   ]perl-lingua-stem-2.31-r0.apk2025-06-09 03:02 12K 
[   ]perl-daemon-control-0.001010-r2.apk2024-10-26 06:10 12K 
[   ]py3-aiohttp-jinja2-1.6-r2.apk2024-10-26 06:10 12K 
[   ]afew-doc-3.0.1-r0.apk2025-05-19 09:30 12K 
[   ]m2r2-0.3.4-r0.apk2026-01-10 03:02 12K 
[   ]py3-queuelib-1.8.0-r0.apk2025-09-02 05:13 12K 
[   ]py3-rfc-bibtex-pyc-0.3.2-r7.apk2024-10-26 06:10 12K 
[   ]py3-cobs-pyc-1.2.0-r4.apk2024-10-26 06:10 12K 
[   ]py3-venusian-pyc-3.1.1-r0.apk2024-12-08 08:14 12K 
[   ]xosview-doc-1.24-r0.apk2024-10-26 06:11 13K 
[   ]py3-ovos-ww-plugin-vosk-pyc-0.1.7-r0.apk2025-07-16 06:30 13K 
[   ]py3-discid-1.3.0-r0.apk2025-07-29 08:41 13K 
[   ]py3-libiio-0.25-r2.apk2024-10-26 06:10 13K 
[   ]nvimpager-0.12.0-r0.apk2024-10-26 06:10 13K 
[   ]geonames-doc-0.3.1-r2.apk2024-10-26 06:09 13K 
[   ]rtptools-doc-1.22-r2.apk2024-10-26 06:10 13K 
[   ]tpp-bypass-0.8.4-r0.apk2024-10-26 06:11 13K 
[   ]roll-2.6.1-r1.apk2025-10-14 03:00 13K 
[   ]php82-pdo_dblib-8.2.30-r2.apk2026-01-14 15:08 13K 
[   ]php81-pdo_dblib-8.1.34-r1.apk2026-01-14 15:08 13K 
[   ]py3-crc16-0.1.1-r10.apk2024-10-26 06:10 13K 
[   ]kubesplit-0.3.3-r1.apk2024-10-26 06:09 13K 
[   ]py3-itemadapter-pyc-0.10.0-r0.apk2024-12-01 07:42 13K 
[   ]perl-barcode-zbar-doc-0.10-r4.apk2025-06-30 18:25 13K 
[   ]php81-pdo_odbc-8.1.34-r1.apk2026-01-14 15:08 13K 
[   ]py3-hatch-openzim-bootstrap-pyc-0.1.0-r0.apk2024-10-26 06:10 13K 
[   ]perl-catalyst-view-tt-doc-0.46-r0.apk2025-04-14 07:28 13K 
[   ]saait-doc-0.8-r0.apk2024-10-26 06:10 13K 
[   ]py3-wtf-peewee-3.0.6-r0.apk2024-10-26 06:10 13K 
[   ]gr-satellites-dev-5.5.0-r6.apk2025-10-12 23:09 13K 
[   ]py3-pygfm-2.0.0-r2.apk2024-10-26 06:10 13K 
[   ]py3-flake8-builtins-2.5.0-r0.apk2024-12-08 08:51 13K 
[   ]dooit-extras-0.2.0-r0.apk2024-12-08 07:23 13K 
[   ]php81-tideways_xhprof-5.0.4-r1.apk2024-10-26 06:10 13K 
[   ]perl-cli-osprey-0.08-r0.apk2024-12-28 15:54 13K 
[   ]termbox-1.1.2-r1.apk2024-10-26 06:10 13K 
[   ]py3-lsp-mypy-pyc-0.7.0-r1.apk2025-08-30 12:39 13K 
[   ]wlavu-0_git20201101-r1.apk2024-10-26 06:11 13K 
[   ]py3-flask-cache-0.13.1-r9.apk2024-10-26 06:10 13K 
[   ]py3-bitstruct-pyc-8.19.0-r1.apk2024-10-26 06:10 13K 
[   ]libglib-testing-0.1.1-r0.apk2025-05-08 23:22 13K 
[   ]glmark2-doc-2023.01-r1.apk2024-10-26 06:09 13K 
[   ]faultstat-0.01.11-r0.apk2024-10-26 06:09 13K 
[   ]perl-crypt-blowfish-2.14-r1.apk2025-06-30 18:25 13K 
[   ]py3-lsp-mypy-0.7.0-r1.apk2025-08-30 12:39 13K 
[   ]py3-incoming-0.3.1-r8.apk2024-10-26 06:10 13K 
[   ]imapfilter-doc-2.8.2-r0.apk2024-10-26 06:09 13K 
[   ]php82-pecl-xhprof-2.3.10-r0.apk2025-10-24 13:09 13K 
[   ]libcyaml-dev-1.4.2-r0.apk2024-10-26 06:09 13K 
[   ]py3-rfc-bibtex-0.3.2-r7.apk2024-10-26 06:10 13K 
[   ]libinfnoise-0.3.3-r0.apk2025-05-26 19:58 13K 
[   ]crun-vm-doc-0.3.0-r0.apk2024-11-12 22:41 13K 
[   ]py3-deluge-client-1.10.2-r0.apk2024-10-26 06:10 13K 
[   ]perl-email-abstract-doc-3.010-r0.apk2024-10-26 06:10 13K 
[   ]devil-dev-1.8.0-r0.apk2024-10-26 06:08 13K 
[   ]lrcalc-2.1-r1.apk2024-10-26 06:09 13K 
[   ]py3-glob2-pyc-0.7-r6.apk2024-10-26 06:10 13K 
[   ]lychee-doc-0.19.1-r0.apk2025-06-17 22:01 13K 
[   ]php81-pecl-xhprof-2.3.10-r0.apk2024-10-26 06:10 13K 
[   ]php82-pdo_sqlite-8.2.30-r2.apk2026-01-14 15:08 13K 
[   ]py3-telegram-text-pyc-0.2.0-r1.apk2024-10-26 06:10 13K 
[   ]kubesplit-pyc-0.3.3-r1.apk2024-10-26 06:09 13K 
[   ]py3-pam-pyc-2.0.2-r2.apk2024-10-26 06:10 13K 
[   ]speedtest-examples-5.2.5-r1.apk2024-10-26 06:10 13K 
[   ]php81-pdo_sqlite-8.1.34-r1.apk2026-01-14 15:08 13K 
[   ]biometryd-dev-0.3.3-r0.apk2025-12-09 22:10 13K 
[   ]tree-sitter-git-commit-0_git20211225-r4.apk2025-07-26 03:54 13K 
[   ]barrier-doc-2.4.0-r2.apk2025-02-18 02:07 13K 
[   ]py3-hatch-openzim-pyc-0.2.0-r0.apk2024-10-26 06:10 13K 
[   ]makeself-2.5.0-r0.apk2024-10-26 06:09 13K 
[   ]py3-wifi-0.3.8-r7.apk2024-10-26 06:10 13K 
[   ]rustic-zsh-completion-0.9.3-r0.apk2024-10-26 06:10 13K 
[   ]py3-riotctrl-0.5.0-r4.apk2024-10-26 06:10 13K 
[   ]libhwpwm-doc-0.4.4-r0.apk2024-10-26 06:09 13K 
[   ]py3-keepalive-pyc-0.5-r5.apk2024-10-26 06:10 13K 
[   ]ip2location-dev-8.6.1-r0.apk2024-10-26 06:09 13K 
[   ]rmtfs-1.1.1-r2.apk2026-01-15 15:57 13K 
[   ]luksmeta-9-r0.apk2024-10-26 06:09 13K 
[   ]sigrok-firmware-fx2lafw-bin-0.1.7-r0.apk2024-10-26 06:10 13K 
[   ]tcmu-runner-rbd-1.6.0-r6.apk2024-10-26 06:10 13K 
[   ]perl-text-german-0.06-r0.apk2025-06-07 13:00 13K 
[   ]81voltd-1.1.0-r0.apk2026-01-12 05:22 13K 
[   ]apt-dater-lang-1.0.4-r4.apk2024-10-26 06:08 13K 
[   ]touchpad-emulator-0.3-r0.apk2025-05-26 20:33 13K 
[   ]py3-phx-class-registry-5.0.0-r0.apk2024-10-26 06:10 13K 
[   ]mp3val-0.1.8-r1.apk2024-10-26 06:10 13K 
[   ]dam-0_git20250728-r0.apk2025-12-23 08:22 13K 
[   ]php82-pdo_mysql-8.2.30-r2.apk2026-01-14 15:08 13K 
[   ]termbox-static-1.1.2-r1.apk2024-10-26 06:10 13K 
[   ]php81-pdo_mysql-8.1.34-r1.apk2026-01-14 15:08 13K 
[   ]py3-pydes-pyc-2.0.1-r5.apk2024-10-26 06:10 13K 
[   ]php81-calendar-8.1.34-r1.apk2026-01-14 15:08 13K 
[   ]py3-sphinxcontrib-spelling-8.0.1-r0.apk2025-07-14 08:34 13K 
[   ]py3-pep8-naming-pyc-0.14.1-r0.apk2024-10-26 06:10 13K 
[   ]mautrix-zulip-doc-0.2511.0-r2.apk2026-01-18 09:42 13K 
[   ]py3-pygfm-pyc-2.0.0-r2.apk2024-10-26 06:10 13K 
[   ]perl-file-find-object-doc-0.3.9-r0.apk2025-07-12 17:30 13K 
[   ]mautrix-linkedin-doc-0.2512.0-r1.apk2026-01-18 09:42 13K 
[   ]pipeleek-doc-0.52.0-r0.apk2026-01-24 02:23 13K 
[   ]php82-xsl-8.2.30-r2.apk2026-01-14 15:08 13K 
[   ]php82-pdo_odbc-8.2.30-r2.apk2026-01-14 15:08 13K 
[   ]php81-xsl-8.1.34-r1.apk2026-01-14 15:08 13K 
[   ]py3-prctl-1.8.1-r0.apk2025-10-21 01:00 13K 
[   ]catfish-doc-4.20.1-r0.apk2025-07-08 06:19 13K 
[   ]perl-catalyst-plugin-i18n-doc-0.10-r0.apk2025-04-04 20:43 13K 
[   ]libecap-1.0.1-r1.apk2024-10-26 06:09 13K 
[   ]php82-pecl-opentelemetry-1.2.1-r0.apk2025-10-24 13:09 13K 
[   ]php82-calendar-8.2.30-r2.apk2026-01-14 15:08 13K 
[   ]libnfcdef-1.1.0-r0.apk2026-01-03 04:16 13K 
[   ]py3-flask-migrate-4.1.0-r0.apk2025-07-14 08:53 13K 
[   ]startup-tools-2.0.3-r5.apk2024-10-26 06:10 13K 
[   ]php81-pecl-opentelemetry-1.2.1-r0.apk2025-10-10 04:38 13K 
[   ]perl-catalyst-authentication-store-dbix-class-0.1506-r0.apk2025-06-12 03:35 13K 
[   ]php81-xmlreader-8.1.34-r1.apk2026-01-14 15:08 13K 
[   ]py3-janus-pyc-1.2.0-r0.apk2024-12-13 15:57 13K 
[   ]himitsu-keyring-0.2.0-r0.apk2024-10-26 06:09 13K 
[   ]py3-asif-0.3.2-r3.apk2024-10-26 06:10 13K 
[   ]libiio-dev-0.25-r2.apk2024-10-26 06:09 13K 
[   ]wmctrl-1.07-r1.apk2024-10-26 06:11 13K 
[   ]mpdcron-doc-0.3-r1.apk2024-10-26 06:10 13K 
[   ]py3-wg-netns-pyc-2.3.1-r1.apk2024-10-26 06:10 13K 
[   ]convert2json-doc-2.4.1-r0.apk2025-12-16 03:18 13K 
[   ]perl-cpan-changes-0.500005-r0.apk2025-08-17 01:22 13K 
[   ]wabt-doc-1.0.37-r0.apk2025-04-07 08:37 13K 
[   ]perl-starman-0.4017-r0.apk2024-10-26 06:10 13K 
[   ]php81-pcntl-8.1.34-r1.apk2026-01-14 15:08 13K 
[   ]boost1.89-random-1.89.0-r1.apk2026-01-18 09:42 13K 
[   ]py3-discid-pyc-1.3.0-r0.apk2025-07-29 08:41 13K 
[   ]py3-ecbdata-0.1.1-r0.apk2025-04-14 10:33 13K 
[   ]usbtop-1.0-r0.apk2025-04-14 07:28 13K 
[   ]py3-git-versioner-pyc-7.1-r1.apk2024-10-26 06:10 14K 
[   ]perl-cpan-audit-20250829.001-r0.apk2025-09-07 03:20 14K 
[   ]xfd-1.1.4-r0.apk2024-10-26 06:11 14K 
[   ]rescrobbled-doc-0.8.0-r0.apk2026-01-26 10:23 14K 
[   ]afetch-doc-2.2.0-r1.apk2024-10-26 06:08 14K 
[   ]bash-pinyin-completion-rs-doc-1.0.4-r0.apk2026-01-21 01:21 14K 
[   ]mkosi-sandbox-26-r1.apk2025-12-28 04:17 14K 
[   ]ufw-docker-doc-251123-r0.apk2026-01-04 11:59 14K 
[   ]bettercap-doc-2.41.5-r1.apk2026-01-18 09:42 14K 
[   ]lsdvd-0.17-r0.apk2024-10-26 06:09 14K 
[   ]perl-catalyst-view-tt-0.46-r0.apk2025-04-14 07:28 14K 
[   ]perl-uri-find-20160806-r0.apk2025-03-26 22:51 14K 
[   ]perl-moosex-getopt-0.78-r0.apk2025-03-26 22:51 14K 
[   ]py3-evalidate-2.1.3-r0.apk2025-12-17 02:13 14K 
[   ]logtop-0.7-r1.apk2025-08-10 12:01 14K 
[   ]py3-bite-parser-0.2.5-r0.apk2024-10-29 08:51 14K 
[   ]py3-flask-flatpages-pyc-0.8.3-r0.apk2024-12-07 09:59 14K 
[   ]xed-dev-3.8.4-r0.apk2025-10-23 18:55 14K 
[   ]peg-doc-0.1.18-r1.apk2024-10-26 06:10 14K 
[   ]libcorkipset-1.1.1-r4.apk2024-10-26 06:09 14K 
[   ]perl-text-worddiff-doc-0.09-r0.apk2025-06-10 03:31 14K 
[   ]steghide-doc-0.5.1.1-r0.apk2024-10-26 06:10 14K 
[   ]py3-wifi-pyc-0.3.8-r7.apk2024-10-26 06:10 14K 
[   ]gesture-0.8-r0.apk2026-01-20 01:19 14K 
[   ]aoetools-doc-37-r2.apk2025-01-15 02:34 14K 
[   ]seaweedfs-doc-3.93-r5.apk2026-01-18 09:42 14K 
[   ]networkmanager-dmenu-2.6.1-r1.apk2025-10-14 03:00 14K 
[   ]perl-html-query-0.09-r0.apk2025-06-16 22:50 14K 
[   ]libmdf-dev-1.0.29-r0.apk2024-10-26 06:09 14K 
[   ]simp1e-cursors-doc-0_git20250312-r0.apk2025-03-26 22:51 14K 
[   ]php82-xmlreader-8.2.30-r2.apk2026-01-14 15:08 14K 
[   ]perl-syntax-keyword-match-0.15-r1.apk2025-06-30 18:25 14K 
[   ]slurm-0.4.4-r0.apk2024-10-26 06:10 14K 
[   ]tmux-resurrect-4.0.0-r0.apk2024-10-26 06:11 14K 
[   ]perl-catalyst-authentication-store-dbix-class-doc-0.1506-r0.apk2025-06-12 03:35 14K 
[   ]py3-sortedcollections-pyc-2.1.0-r5.apk2024-10-26 06:10 14K 
[   ]cpp-httplib-doc-0.30.1-r0.apk2026-01-11 02:30 14K 
[   ]py3-pprintpp-0.4.0-r1.apk2024-10-26 06:10 14K 
[   ]py3-pdal-pyc-3.5.3-r0.apk2026-01-20 03:04 14K 
[   ]py3-halo-pyc-0.0.31-r5.apk2024-10-26 06:10 14K 
[   ]perl-database-async-engine-postgresql-1.005-r0.apk2024-10-26 06:10 14K 
[   ]wshowkeys-1.0-r0.apk2024-10-26 06:11 14K 
[   ]tuptime-5.2.4-r2.apk2025-09-07 03:20 14K 
[   ]sqlar-0_git20180107-r1.apk2024-10-26 06:10 14K 
[   ]tere-doc-1.6.0-r0.apk2024-10-26 06:10 14K 
[   ]perl-file-changenotify-doc-0.31-r0.apk2025-03-26 22:51 14K 
[   ]amdgpu-fan-0.1.0-r5.apk2024-10-26 06:08 14K 
[   ]qdjango-dev-0.6.2-r1.apk2024-10-26 06:10 14K 
[   ]autotrash-pyc-0.4.7-r0.apk2024-10-26 06:08 14K 
[   ]gammastep-doc-2.0.9-r3.apk2024-10-26 06:09 14K 
[   ]tanidvr-dhav2mkv-1.4.1-r2.apk2025-03-26 22:51 14K 
[   ]ledmon-doc-1.0.0-r0.apk2024-10-26 06:09 14K 
[   ]perl-snmp-doc-5.0404-r14.apk2025-06-30 18:25 14K 
[   ]ideviceinstaller-1.1.1-r4.apk2024-10-31 09:44 14K 
[   ]py3-pyscreeze-0.1.29-r3.apk2024-10-26 06:10 14K 
[   ]py3-spidev-3.6-r1.apk2024-10-26 06:10 14K 
[   ]rtmidi-dev-6.0.0-r0.apk2024-10-26 06:10 14K 
[   ]py3-downloader-cli-pyc-0.3.4-r2.apk2025-05-15 05:17 14K 
[   ]infnoise-0.3.3-r0.apk2025-05-26 19:58 14K 
[   ]par-1.53.0-r1.apk2024-10-26 06:10 14K 
[   ]py3-euclid3-0.01-r8.apk2024-10-26 06:10 14K 
[   ]tldr-python-client-pyc-3.3.0-r0.apk2024-12-02 04:09 14K 
[   ]py3-sphinx-argparse-0.5.2-r0.apk2024-10-26 06:10 14K 
[   ]apk-autoupdate-0_git20210421-r1.apk2024-11-20 11:45 14K 
[   ]py3-firmata-1.0.3-r10.apk2024-10-26 06:10 14K 
[   ]php81-pecl-memprof-3.1.0-r0.apk2025-02-25 04:23 14K 
[   ]py3-pyscreeze-pyc-0.1.29-r3.apk2024-10-26 06:10 14K 
[   ]php84-pecl-memprof-3.1.0-r0.apk2025-02-25 04:23 14K 
[   ]mspdebug-doc-0.25-r1.apk2024-10-26 06:10 14K 
[   ]pam-pkcs11-doc-0.6.13-r1.apk2025-11-18 00:13 14K 
[   ]watchdog-doc-5.16-r2.apk2024-10-26 06:11 14K 
[   ]perl-path-dispatcher-1.08-r0.apk2025-06-09 23:05 14K 
[   ]py3-typing_inspect-pyc-0.9.0-r2.apk2024-10-26 06:10 14K 
[   ]dasht-2.4.0-r0.apk2024-10-26 06:08 14K 
[   ]py3-click-completion-pyc-0.5.2-r1.apk2024-10-26 06:10 14K 
[   ]py3-dataclasses-serialization-pyc-1.3.1-r3.apk2024-10-26 06:10 14K 
[   ]ruby-minitest-autotest-1.2.1-r0.apk2026-01-11 00:08 14K 
[   ]libzvbi-dev-0.2.44-r0.apk2025-03-12 09:54 14K 
[   ]perl-html-gumbo-0.18-r2.apk2025-06-30 18:25 14K 
[   ]py3-us-3.2.0-r0.apk2024-10-26 06:10 14K 
[   ]ruby-benchmark-ips-2.14.0-r0.apk2025-05-26 10:54 14K 
[   ]mm-doc-1.4.2-r1.apk2024-10-26 06:10 14K 
[   ]py3-prefixed-0.9.0-r0.apk2025-08-29 03:59 14K 
[   ]py3-venusian-3.1.1-r0.apk2024-12-08 08:14 14K 
[   ]mdnsd-doc-0.12-r1.apk2024-10-26 06:10 14K 
[   ]py3-unidns-0.0.4-r0.apk2025-11-03 17:49 14K 
[   ]aprilsh-doc-0.7.12-r11.apk2026-01-18 09:42 14K 
[   ]boost1.89-chrono-1.89.0-r1.apk2026-01-18 09:42 14K 
[   ]qt-wayland-shell-helpers-0.1.1-r3.apk2024-10-26 06:10 14K 
[   ]mangr0ve-doc-0.1.2-r0.apk2024-10-26 06:10 14K 
[   ]py3-mistune1-0.8.4-r6.apk2025-11-10 05:52 14K 
[   ]perl-catalyst-plugin-session-0.43-r0.apk2025-04-04 22:56 14K 
[   ]xiccd-0.4.1-r0.apk2026-01-08 13:33 14K 
[   ]gmid-doc-2.1.1-r1.apk2026-01-13 04:29 15K 
[   ]py3-editdistance-s-1.0.0-r6.apk2024-10-26 06:10 15K 
[   ]belr-dev-5.3.105-r0.apk2025-02-25 23:52 15K 
[   ]py3-createrepo_c-pyc-1.1.4-r1.apk2025-12-31 07:57 15K 
[   ]throttled-0.10.0-r1.apk2024-12-16 06:25 15K 
[   ]py3-itunespy-pyc-1.6-r5.apk2025-05-15 05:17 15K 
[   ]perl-test-modern-0.013-r3.apk2024-10-26 06:10 15K 
[   ]clatd-2.1.0-r0.apk2026-01-10 03:02 15K 
[   ]rgxg-0.1.2-r2.apk2024-10-26 06:10 15K 
[   ]perl-getopt-long-descriptive-0.116-r0.apk2024-12-31 20:39 15K 
[   ]py3-django-compress-staticfiles-1.0.1_beta0-r6.apk2024-10-26 06:10 15K 
[   ]logtop-libs-0.7-r1.apk2025-08-10 12:01 15K 
[   ]xcompmgr-1.1.10-r0.apk2025-06-28 22:24 15K 
[   ]libresprite-doc-1.2-r0.apk2025-04-14 09:16 15K 
[   ]qmk-cli-1.2.0-r0.apk2025-11-29 23:10 15K 
[   ]octoprint-pisupport-pyc-2025.7.23-r0.apk2026-01-05 01:26 15K 
[   ]sfizz-dev-1.2.3-r1.apk2025-10-03 01:07 15K 
[   ]git-secret-0.5.0-r0.apk2024-10-26 06:09 15K 
[   ]py3-urlobject-2.4.3-r9.apk2024-10-26 06:10 15K 
[   ]mint-themes-doc-2.3.7-r1.apk2026-01-25 01:18 15K 
[   ]godini-doc-1.0.0-r6.apk2026-01-18 09:42 15K 
[   ]py3-pockethernet-0.7.0-r4.apk2024-10-26 06:10 15K 
[   ]py3-aiohttp-session-pyc-2.12.1-r0.apk2024-10-26 06:10 15K 
[   ]kodi-game-libretro-beetle-lynx-1.24.0.50-r0.apk2024-10-26 06:09 15K 
[   ]sssd-dev-2.11.1-r2.apk2025-11-21 08:27 15K 
[   ]perl-dancer-plugin-auth-extensible-doc-1.00-r5.apk2024-10-26 06:10 15K 
[   ]perl-test-www-mechanize-1.60-r0.apk2025-04-14 07:28 15K 
[   ]commoncpp-doc-7.0.1-r1.apk2024-10-26 06:08 15K 
[   ]sandbar-0.2_git20250909-r0.apk2025-12-22 01:37 15K 
[   ]geomyidae-0.34-r2.apk2024-10-26 06:09 15K 
[   ]perl-number-tolerant-1.710-r0.apk2024-10-26 06:10 15K 
[   ]php82-pcntl-8.2.30-r2.apk2026-01-14 15:08 15K 
[   ]py3-pybars3-0.9.7-r6.apk2024-10-26 06:10 15K 
[   ]mpdris2-doc-0.9.1-r3.apk2024-10-26 06:10 15K 
[   ]rinetd-0.73-r0.apk2024-10-26 06:10 15K 
[   ]sopwith-doc-2.5.0-r0.apk2024-10-26 06:10 15K 
[   ]virter-doc-0.29.0-r6.apk2026-01-18 09:42 15K 
[   ]py3-django-compress-staticfiles-pyc-1.0.1_beta0-r6.apk2024-10-26 06:10 15K 
[   ]readosm-1.1.0-r3.apk2025-05-14 09:00 15K 
[   ]nkk-0_git20221010-r0.apk2024-10-26 06:10 15K 
[   ]py3-pygtail-0.14.0-r3.apk2024-10-26 06:10 15K 
[   ]py3-sphinxcontrib-htsql-pyc-0.1.5-r8.apk2024-10-26 06:10 15K 
[   ]tree-sitter-just-0_git20230318-r0.apk2024-10-26 06:11 15K 
[   ]kerberoast-pyc-0.2.0-r2.apk2025-05-29 23:00 15K 
[   ]php81-pecl-brotli-0.18.3-r0.apk2025-12-01 13:45 15K 
[   ]php84-pecl-mcrypt-1.0.9-r0.apk2025-08-05 23:42 15K 
[   ]py3-sphinxcontrib-inheritance-pyc-0.9.0-r9.apk2024-10-26 06:10 15K 
[   ]php81-pecl-mcrypt-1.0.9-r0.apk2025-08-05 23:42 15K 
[   ]php82-pecl-brotli-0.18.3-r0.apk2025-12-01 13:45 15K 
[   ]php82-pecl-mcrypt-1.0.9-r0.apk2025-10-24 13:09 15K 
[   ]py3-snapshottest-0.6.0-r5.apk2024-10-26 06:10 15K 
[   ]cm256cc-dev-1.1.1-r1.apk2025-02-09 10:46 15K 
[   ]ttynvt-0.17-r0.apk2025-08-22 23:50 15K 
[   ]kirc-0.3.3-r0.apk2025-02-23 03:07 15K 
[   ]py3-intervals-pyc-0.9.2-r5.apk2024-10-26 06:10 15K 
[   ]mpdris2-0.9.1-r3.apk2024-10-26 06:10 15K 
[   ]py3-us-pyc-3.2.0-r0.apk2024-10-26 06:10 15K 
[   ]fatresize-doc-1.1.0-r1.apk2024-10-26 06:09 15K 
[   ]libsimpleble-c-0.10.4-r0.apk2026-01-13 14:12 15K 
[   ]bwrap-oci-0.2-r1.apk2024-10-26 06:08 15K 
[   ]git-quick-stats-2.8.0-r0.apk2025-09-11 19:18 15K 
[   ]perl-number-format-1.76-r1.apk2024-10-26 06:10 15K 
[   ]aptdec-libs-1.8.0-r1.apk2025-02-09 10:46 15K 
[   ]libhomfly-1.02_p6-r1.apk2024-10-26 06:09 15K 
[   ]perl-dancer-plugin-auth-extensible-1.00-r5.apk2024-10-26 06:10 15K 
[   ]blip-0.10-r0.apk2024-10-26 06:08 15K 
[   ]hx-1.0.15-r0.apk2024-10-26 06:09 15K 
[   ]py3-zope-i18nmessageid-8.2-r0.apk2025-12-25 23:29 15K 
[   ]py3-flake8-import-order-0.18.2-r4.apk2024-10-26 06:10 15K 
[   ]py3-teletype-1.3.4-r3.apk2024-10-26 06:10 15K 
[   ]ampy-1.1.0-r6.apk2025-03-26 22:50 15K 
[   ]codec2-dev-1.2.0-r1.apk2025-11-23 05:51 15K 
[   ]kodi-game-libretro-beetle-bsnes-0.9.26.27-r0.apk2024-10-26 06:09 15K 
[   ]perl-test-roo-doc-1.004-r3.apk2024-10-26 06:10 15K 
[   ]py3-googletrans-3.0.0-r5.apk2024-10-26 06:10 15K 
[   ]m2r2-pyc-0.3.4-r0.apk2026-01-10 03:02 15K 
[   ]miracle-wm-dev-0.8.3-r0.apk2026-01-01 03:43 15K 
[   ]py3-rabbit-pyc-1.1.0-r8.apk2024-10-26 06:10 15K 
[   ]zarchive-0.1.2-r2.apk2024-10-26 06:11 16K 
[   ]gssdp-dev-1.6.4-r1.apk2025-08-10 12:01 16K 
[   ]bat-extras-doc-2024.08.24-r0.apk2025-10-13 07:47 16K 
[   ]aide-doc-0.19.2-r0.apk2025-09-07 03:20 16K 
[   ]php83-pecl-vld-0.19.1-r0.apk2025-07-23 15:04 16K 
[   ]py3-simpleeval-1.0.3-r0.apk2025-06-25 06:38 16K 
[   ]py3-lib_users-0.15-r4.apk2024-10-26 06:10 16K 
[   ]php82-pecl-vld-0.19.1-r0.apk2025-07-23 15:04 16K 
[   ]py3-unicorn-hat-2.1.2-r6.apk2024-11-06 20:41 16K 
[   ]cmusfm-0.5.0-r1.apk2025-08-27 15:14 16K 
[   ]cobang-lang-2.3.1-r0.apk2026-01-08 13:33 16K 
[   ]libcorkipset-tools-1.1.1-r4.apk2024-10-26 06:09 16K 
[   ]sacc-1.07-r1.apk2025-09-13 12:00 16K 
[   ]epr-2.4.15-r1.apk2024-10-26 06:09 16K 
[   ]stacker-doc-1.1.3-r2.apk2026-01-18 09:42 16K 
[   ]libvisio2svg-0.5.5-r3.apk2024-10-26 06:09 16K 
[   ]blackbox-1.20220610-r1.apk2024-10-26 06:08 16K 
[   ]perl-archive-extract-0.88-r1.apk2024-10-26 06:10 16K 
[   ]fuseiso-20070708-r0.apk2026-01-02 06:37 16K 
[   ]himitsu-secret-service-0.1_git20250705-r1.apk2025-10-21 01:00 16K 
[   ]zfsbootmenu-doc-2.3.0-r1.apk2024-10-26 06:11 16K 
[   ]zile-doc-2.6.2-r1.apk2024-10-26 06:11 16K 
[   ]perl-css-inliner-4027-r0.apk2025-06-16 22:50 16K 
[   ]ol-dev-2.6-r0.apk2025-05-04 07:03 16K 
[   ]php85-pecl-vld-0.19.1-r1.apk2025-09-25 00:21 16K 
[   ]php84-pecl-vld-0.19.1-r0.apk2025-07-23 15:04 16K 
[   ]py3-saltext-s3fs-pyc-1.0.0-r0.apk2026-01-25 00:54 16K 
[   ]dvdbackup-0.4.2-r1.apk2024-10-26 06:09 16K 
[   ]py3-rpio-pyc-0.10.1-r8.apk2024-10-26 06:10 16K 
[   ]py3-testresources-pyc-2.0.1-r6.apk2024-10-26 06:10 16K 
[   ]py3-jaraco.vcs-pyc-2.4.1-r0.apk2025-03-11 14:55 16K 
[   ]coccinelle-doc-1.1.1-r2.apk2024-10-26 06:08 16K 
[   ]belcard-5.3.105-r0.apk2025-02-25 23:48 16K 
[   ]aravis-viewer-lang-0.8.31-r0.apk2024-10-26 06:08 16K 
[   ]baresip-dev-4.1.0-r1.apk2026-01-24 23:59 16K 
[   ]php82-bcmath-8.2.30-r2.apk2026-01-14 15:08 16K 
[   ]py3-sphinxcontrib-mermaid-pyc-1.0.0-r0.apk2025-05-08 23:22 16K 
[   ]php81-bcmath-8.1.34-r1.apk2026-01-14 15:08 16K 
[   ]dislocker-0.7.3-r6.apk2025-07-23 15:04 16K 
[   ]wok-lang-3.0.0-r6.apk2024-10-26 06:11 16K 
[   ]kodi-game-libretro-theodore-0.0.1.32-r0.apk2024-10-26 06:09 16K 
[   ]please-doc-0.5.6-r0.apk2025-08-29 19:10 16K 
[   ]py3-pytest-regtest-2.3.5-r0.apk2025-10-13 00:32 16K 
[   ]fatback-doc-1.3-r2.apk2024-10-26 06:09 16K 
[   ]predict-doc-2.3.1-r0.apk2024-11-24 18:46 16K 
[   ]wlclock-1.0.1-r0.apk2024-10-26 06:11 16K 
[   ]ubuntu-archive-keyring-2023.11.28.1-r0.apk2024-10-26 06:11 16K 
[   ]py3-markdownify-1.2.2-r0.apk2025-11-28 03:00 16K 
[   ]py3-wikipedia-pyc-1.4.0-r0.apk2025-09-14 17:33 16K 
[   ]py3-simber-pyc-0.2.6-r5.apk2025-05-15 05:17 16K 
[   ]pegasus-frontend-doc-16_alpha-r0.apk2024-10-26 06:10 16K 
[   ]py3-u-msgpack-pyc-2.8.0-r2.apk2024-10-26 06:10 16K 
[   ]wf-config-dev-0.9.0-r0.apk2025-02-28 07:17 16K 
[   ]zpaq-doc-7.15-r0.apk2025-10-10 04:38 16K 
[   ]py3-pprintpp-pyc-0.4.0-r1.apk2024-10-26 06:10 16K 
[   ]py3-saltext-s3fs-1.0.0-r0.apk2026-01-25 00:54 16K 
[   ]tang-15-r0.apk2025-01-26 17:27 16K 
[   ]logc-argp-0.5.0-r1.apk2025-06-14 02:19 16K 
[   ]py3-barcodenumber-0.2.1-r10.apk2024-10-26 06:10 16K 
[   ]nim-bcrypt-dev-0.2.1-r0.apk2026-01-25 00:35 16K 
[   ]gsimplecal-2.5.2-r0.apk2025-10-17 05:48 16K 
[   ]py3-businesstime-pyc-0.3.0-r9.apk2024-10-26 06:10 16K 
[   ]php82-pecl-vips-1.0.13-r0.apk2025-10-24 13:09 16K 
[   ]linuxptp-timemaster-4.4-r0.apk2024-11-20 11:45 16K 
[   ]kodi-game-libretro-beetle-vb-1.31.0.34-r0.apk2024-10-26 06:09 16K 
[   ]xmppipe-0.16.0-r1.apk2024-10-26 06:11 16K 
[   ]rinetd-doc-0.73-r0.apk2024-10-26 06:10 16K 
[   ]py3-aiosasl-doc-0.5.0-r4.apk2024-10-26 06:10 16K 
[   ]py3-flask-mailman-1.1.1-r0.apk2024-10-26 06:10 16K 
[   ]py3-simpleeval-pyc-1.0.3-r0.apk2025-06-25 06:38 16K 
[   ]py3-sphinxcontrib-programoutput-0.17-r5.apk2024-10-26 06:10 16K 
[   ]py3-ppk2-api-0.9.2-r0.apk2024-12-01 08:06 16K 
[   ]py3-dash-bootstrap-components-1.6.0-r0.apk2025-04-14 07:28 16K 
[   ]py3-imageio-ffmpeg-0.4.9-r1.apk2024-10-26 06:10 16K 
[   ]mdp-1.0.18-r0.apk2025-07-12 17:30 16K 
[   ]gkrellm-dev-2.3.11-r0.apk2025-01-09 09:36 17K 
[   ]py3-itemloaders-pyc-1.3.2-r1.apk2025-08-10 12:01 17K 
[   ]py3-ppk2-api-pyc-0.9.2-r0.apk2024-12-01 08:06 17K 
[   ]xmag-1.0.8-r0.apk2024-10-26 06:11 17K 
[   ]py3-vdf-pyc-3.4-r2.apk2025-10-17 09:02 17K 
[   ]py3-ciso8601-2.3.3-r0.apk2025-11-11 06:41 17K 
[   ]startup-lang-2.0.3-r5.apk2024-10-26 06:10 17K 
[   ]py3-pylru-1.2.1-r1.apk2024-10-26 06:10 17K 
[   ]paperkey-1.6-r2.apk2024-10-26 06:10 17K 
[   ]fnf-0.1-r0.apk2024-10-26 06:09 17K 
[   ]rustic-fish-completion-0.9.3-r0.apk2024-10-26 06:10 17K 
[   ]perl-sql-abstract-more-doc-1.44-r0.apk2025-07-14 18:46 17K 
[   ]py3-wsgiprox-1.5.2-r1.apk2024-10-26 06:10 17K 
[   ]py3-trakit-pyc-0.2.5-r0.apk2025-11-24 11:46 17K 
[   ]junit2html-31.0.2-r0.apk2024-10-26 06:09 17K 
[   ]kodi-game-libretro-beetle-ngp-1.29.0.35-r0.apk2024-10-26 06:09 17K 
[   ]perl-getopt-tabular-doc-0.3-r4.apk2024-10-26 06:10 17K 
[   ]py3-pymeta3-0.5.1-r6.apk2024-10-26 06:10 17K 
[   ]py3-flake8-import-order-pyc-0.18.2-r4.apk2024-10-26 06:10 17K 
[   ]shc-4.0.3-r2.apk2024-10-26 06:10 17K 
[   ]repo-2.60-r0.apk2025-12-13 06:49 17K 
[   ]py3-pybars3-pyc-0.9.7-r6.apk2024-10-26 06:10 17K 
[   ]arc-metacity-20221218-r1.apk2026-01-22 05:07 17K 
[   ]py3-proxmoxer-2.2.0-r0.apk2024-12-16 22:36 17K 
[   ]git-bug-doc-0.8.1-r7.apk2026-01-18 09:42 17K 
[   ]gammastep-pyc-2.0.9-r3.apk2024-10-26 06:09 17K 
[   ]hping3-doc-20051105-r4.apk2024-10-26 06:09 17K 
[   ]arc-lighter-metacity-20221218-r1.apk2026-01-22 05:07 17K 
[   ]xva-img-1.5-r0.apk2024-10-26 06:11 17K 
[   ]lomiri-download-manager-dev-0.3.0-r0.apk2025-12-09 22:10 17K 
[   ]php81-iconv-8.1.34-r1.apk2026-01-14 15:08 17K 
[   ]php82-pecl-psr-1.2.0-r1.apk2025-10-24 13:09 17K 
[   ]mkg3a-0.5.0-r1.apk2024-10-26 06:10 17K 
[   ]xvidtune-1.0.4-r0.apk2024-10-26 06:11 17K 
[   ]stgit-bash-completion-2.4.7-r1.apk2024-10-26 06:10 17K 
[   ]py3-dpath-2.2.0-r0.apk2024-10-26 06:10 17K 
[   ]php81-pecl-zstd-0.15.2-r0.apk2025-09-10 06:05 17K 
[   ]git-secret-doc-0.5.0-r0.apk2024-10-26 06:09 17K 
[   ]perl-html-formatexternal-26-r0.apk2025-06-08 01:55 17K 
[   ]arc-dark-metacity-20221218-r1.apk2026-01-22 05:07 17K 
[   ]arc-darker-metacity-20221218-r1.apk2026-01-22 05:07 17K 
[   ]py3-bencode-4.0.0-r1.apk2024-10-26 06:10 17K 
[   ]php82-pecl-zstd-0.15.2-r0.apk2025-10-24 13:09 17K 
[   ]py3-tls_parser-pyc-2.0.2-r0.apk2025-07-14 18:46 17K 
[   ]dropwatch-1.5.5-r2.apk2025-12-05 05:49 17K 
[   ]py3-sphinxcontrib-phpdomain-pyc-0.13.0-r0.apk2025-07-13 03:01 17K 
[   ]xa-doc-2.4.1-r0.apk2025-02-25 23:36 17K 
[   ]py3-orderedmultidict-pyc-1.0.1-r7.apk2024-10-26 06:10 17K 
[   ]twemproxy-doc-0.5.0-r0.apk2024-10-26 06:11 17K 
[   ]libdbusaccess-1.0.20-r1.apk2025-08-10 12:01 17K 
[   ]hiawatha-letsencrypt-11.6-r1.apk2025-05-27 22:07 17K 
[   ]php82-iconv-8.2.30-r2.apk2026-01-14 15:08 17K 
[   ]perl-data-dump-streamer-doc-2.42-r1.apk2025-06-30 18:25 17K 
[   ]py3-actdiag-3.0.0-r5.apk2024-10-26 06:10 17K 
[   ]yamkix-0.14.0-r0.apk2025-12-23 06:55 17K 
[   ]py3-lzo-1.16-r1.apk2024-10-26 06:10 17K 
[   ]py3-testresources-2.0.1-r6.apk2024-10-26 06:10 17K 
[   ]hyx-2024.02.29-r0.apk2024-10-26 06:09 17K 
[   ]py3-minikerberos-examples-0.4.9-r0.apk2025-11-03 17:49 17K 
[   ]gpscorrelate-lang-2.3-r0.apk2025-03-27 17:36 17K 
[   ]py3-phx-class-registry-pyc-5.0.0-r0.apk2024-10-26 06:10 17K 
[   ]py3-kerberos-1.3.1-r5.apk2024-10-26 06:10 17K 
[   ]py3-googletrans-pyc-3.0.0-r5.apk2024-10-26 06:10 17K 
[   ]libfort-dev-0.4.2-r0.apk2024-10-26 06:09 17K 
[   ]cvs-fast-export-doc-1.65-r0.apk2024-10-26 06:08 17K 
[   ]boson-0_git20211219-r0.apk2024-10-26 06:08 17K 
[   ]hexedit-1.6_git20230905-r0.apk2024-10-26 06:09 17K 
[   ]py3-soapy_power-1.6.1-r5.apk2024-10-26 06:10 17K 
[   ]kodi-game-libretro-beetle-wswan-0.9.35.41-r0.apk2024-10-26 06:09 18K 
[   ]octoprint-firmwarecheck-pyc-2025.7.23-r0.apk2026-01-05 01:26 18K 
[   ]libeantic-dev-2.1.0-r2.apk2025-11-26 19:24 18K 
[   ]py3-recommonmark-pyc-0.7.1-r4.apk2024-10-26 06:10 18K 
[   ]libecap-static-1.0.1-r1.apk2024-10-26 06:09 18K 
[   ]perl-dbix-lite-doc-0.36-r0.apk2024-12-30 17:37 18K 
[   ]openscap-daemon-doc-0.1.10-r9.apk2024-10-26 06:10 18K 
[   ]switcheroo-control-3.0-r0.apk2026-01-29 08:26 18K 
[   ]lua5.1-linenoise-0.9-r1.apk2024-10-26 06:09 18K 
[   ]lua5.4-linenoise-0.9-r1.apk2024-10-26 06:09 18K 
[   ]lua5.2-linenoise-0.9-r1.apk2024-10-26 06:09 18K 
[   ]lua5.3-linenoise-0.9-r1.apk2024-10-26 06:09 18K 
[   ]perl-html-tableextract-2.15-r4.apk2024-10-26 06:10 18K 
[   ]remind-caldav-0.8.0-r4.apk2024-10-26 06:10 18K 
[   ]surfraw-doc-2.3.0-r0.apk2024-10-26 06:10 18K 
[   ]atool-0.39.0-r4.apk2024-10-26 06:08 18K 
[   ]libemf2svg-utils-1.1.0-r3.apk2025-10-10 04:38 18K 
[   ]xsecurelock-doc-1.9.0-r1.apk2024-10-26 06:11 18K 
[   ]pacparser-doc-1.4.5-r1.apk2024-10-26 06:10 18K 
[   ]py3-dpath-pyc-2.2.0-r0.apk2024-10-26 06:10 18K 
[   ]siril-doc-1.2.6-r5.apk2025-09-28 08:15 18K 
[   ]newsyslog-1.2.0.91-r1.apk2024-10-26 06:10 18K 
[   ]rmlint-doc-2.10.2-r2.apk2024-10-26 06:10 18K 
[   ]freealut-1.1.0-r1.apk2024-10-26 06:09 18K 
[   ]persistent-cache-cpp-dev-1.0.9-r0.apk2025-09-08 12:00 18K 
[   ]libiio-doc-0.25-r2.apk2024-10-26 06:09 18K 
[   ]py3-blockchain-pyc-1.4.4-r7.apk2024-10-26 06:10 18K 
[   ]licenseheaders-0.8.8-r4.apk2024-10-26 06:09 18K 
[   ]perl-catalyst-model-dbic-schema-doc-0.66-r0.apk2025-06-12 03:35 18K 
[   ]py3-sphinxcontrib-cartouche-1.1.2-r7.apk2024-10-26 06:10 18K 
[   ]libideviceactivation-1.1.1-r5.apk2024-10-31 09:44 18K 
[   ]hatop-0.8.2-r0.apk2024-10-26 06:09 18K 
[   ]mstflint-doc-4.26.0.1-r0.apk2024-10-26 06:10 18K 
[   ]circuslinux-doc-1.0.3-r1.apk2024-10-26 06:08 18K 
[   ]anarch-doc-1.0-r1.apk2024-10-26 06:08 18K 
[   ]py3-flake8-isort-7.0.0-r0.apk2025-10-26 07:12 18K 
[   ]py3-pymaging-0.0.20130908-r10.apk2024-10-26 06:10 18K 
[   ]abnfgen-0.21-r0.apk2025-05-28 08:41 18K 
[   ]perl-http-headers-actionpack-0.09-r0.apk2025-06-14 05:17 18K 
[   ]perl-dbix-lite-0.36-r0.apk2024-12-30 17:37 18K 
[   ]py3-feedgenerator-2.1.0-r2.apk2024-10-26 06:10 18K 
[   ]py3-flask-qrcode-3.2.0-r0.apk2024-12-12 18:39 18K 
[   ]mobpass-0.2-r6.apk2024-10-26 06:10 18K 
[   ]py3-cython-test-exception-raiser-25.11.0-r0.apk2025-11-12 06:23 18K 
[   ]diceware-pyc-1.0.1-r0.apk2025-01-14 09:49 18K 
[   ]py3-yara-4.5.4-r0.apk2025-08-14 02:32 18K 
[   ]py3-sphinxcontrib-httpdomain-1.8.1-r3.apk2024-10-26 06:10 18K 
[   ]dmenu-wl-0.1-r0.apk2025-07-02 21:32 18K 
[   ]budgie-desktop-dev-10.9.2-r0.apk2025-10-17 04:07 18K 
[   ]sthttpd-doc-2.27.1-r2.apk2024-10-26 06:10 18K 
[   ]py3-markdownify-pyc-1.2.2-r0.apk2025-11-28 03:00 18K 
[   ]ldapdomaindump-0.10.0-r0.apk2025-07-31 06:18 18K 
[   ]py3-flake8-copyright-0.2.4-r3.apk2024-10-26 06:10 18K 
[   ]liberasurecode-dev-1.6.3-r1.apk2024-10-26 06:09 18K 
[   ]py3-wbdata-1.1.0-r0.apk2026-01-15 15:57 18K 
[   ]py3-flask-cache-pyc-0.13.1-r9.apk2024-10-26 06:10 18K 
[   ]mdnsd-libs-0.12-r1.apk2024-10-26 06:10 18K 
[   ]pinephone-compass-0.4.0-r1.apk2024-10-26 06:10 18K 
[   ]py3-libpyshell-pyc-0.4.1-r1.apk2025-06-10 20:40 18K 
[   ]pure-1.23.0-r0.apk2025-10-21 01:00 18K 
[   ]gummiboot-efistub-48.1-r11.apk2025-07-23 15:04 18K 
[   ]py3-aioxmpp-doc-0.13.3-r3.apk2024-10-26 06:10 18K 
[   ]llmnrd-0.7-r1.apk2024-10-26 06:09 18K 
[   ]perl-cpan-changes-doc-0.500005-r0.apk2025-08-17 01:22 18K 
[   ]py3-flask-socketio-5.5.1-r0.apk2025-09-07 03:20 18K 
[   ]wayqt-dev-0.3.0-r1.apk2025-08-21 18:47 18K 
[   ]py3-msldap-examples-0.5.15-r2.apk2025-10-18 04:04 18K 
[   ]node-libpg-query-13.1.2-r5.apk2024-10-26 06:10 18K 
[   ]cowsay-3.04-r2.apk2024-10-26 06:08 18K 
[   ]visidata-doc-3.3-r0.apk2025-09-16 00:56 18K 
[   ]speedtest-doc-5.2.5-r1.apk2024-10-26 06:10 18K 
[   ]php82-pecl-decimal-1.5.0-r1.apk2025-10-24 13:09 18K 
[   ]licenseheaders-pyc-0.8.8-r4.apk2024-10-26 06:09 18K 
[   ]py3-flask-migrate-pyc-4.1.0-r0.apk2025-07-14 08:53 18K 
[   ]ssdfs-tools-dev-4.09-r0.apk2024-10-26 06:10 18K 
[   ]adjtimex-1.29-r0.apk2024-10-26 06:08 18K 
[   ]py3-bson-pyc-0.5.10-r6.apk2024-10-26 06:10 19K 
[   ]php81-pecl-yaml-2.3.0-r0.apk2025-11-17 10:39 19K 
[   ]py3-spin-0.8-r0.apk2024-10-26 06:10 19K 
[   ]gkrellm-doc-2.3.11-r0.apk2025-01-09 09:36 19K 
[   ]identities-0.2.3-r0.apk2026-01-04 03:39 19K 
[   ]php81-tidy-8.1.34-r1.apk2026-01-14 15:08 19K 
[   ]py3-pltable-1.1.0-r1.apk2024-11-13 17:46 19K 
[   ]powerstat-0.04.01-r0.apk2024-10-26 06:10 19K 
[   ]py3-sphinxcontrib-plantuml-pyc-0.30-r0.apk2025-05-08 23:22 19K 
[   ]py3-vatnumber-1.2-r9.apk2024-10-26 06:10 19K 
[   ]php82-pecl-yaml-2.3.0-r0.apk2025-11-17 10:39 19K 
[   ]php81-pdo_pgsql-8.1.34-r1.apk2026-01-14 15:08 19K 
[   ]py3-iniparse-0.5-r7.apk2024-10-26 06:10 19K 
[   ]libmpfi-doc-1.5.4-r2.apk2024-10-26 06:09 19K 
[   ]php82-pdo_pgsql-8.2.30-r2.apk2026-01-14 15:08 19K 
[   ]py3-helper-2.5.0-r5.apk2024-10-26 06:10 19K 
[   ]mdnsd-static-0.12-r1.apk2024-10-26 06:10 19K 
[   ]py3-enzyme-pyc-0.5.2-r0.apk2025-07-13 03:01 19K 
[   ]droidcam-2.1.3-r3.apk2025-09-28 00:54 19K 
[   ]py3-evohome-client-0.3.9-r0.apk2025-07-14 08:33 19K 
[   ]bootterm-0.5-r0.apk2024-10-26 06:08 19K 
[   ]perl-autobox-3.0.2-r1.apk2025-06-30 18:25 19K 
[   ]py3-agithub-2.2.2-r7.apk2025-03-26 22:51 19K 
[   ]py3-aiohttp-remotes-pyc-1.3.0-r0.apk2024-11-04 23:28 19K 
[   ]libserialport-0.1.1-r1.apk2024-10-26 06:09 19K 
[   ]rmlint-lang-2.10.2-r2.apk2024-10-26 06:10 19K 
[   ]libhomfly-dev-1.02_p6-r1.apk2024-10-26 06:09 19K 
[   ]py3-sphinxcontrib-spelling-pyc-8.0.1-r0.apk2025-07-14 08:34 19K 
[   ]razercfg-gui-0.42-r7.apk2024-10-26 06:10 19K 
[   ]snapraid-doc-13.0-r0.apk2025-11-01 08:52 19K 
[   ]moe-doc-1.14-r0.apk2024-10-26 06:10 19K 
[   ]php81-xml-8.1.34-r1.apk2026-01-14 15:08 19K 
[   ]py3-pathvalidate-3.3.1-r0.apk2025-07-13 02:21 19K 
[   ]capsudo-0.1.3-r0.apk2026-01-25 21:16 19K 
[   ]musikcube-dev-3.0.5-r0.apk2025-09-28 08:15 19K 
[   ]lua-libmodbus-doc-0.6.1-r0.apk2024-10-26 06:09 19K 
[   ]ddcci-driver-linux-src-0.4.5-r2.apk2025-03-26 22:50 19K 
[   ]php82-tidy-8.2.30-r2.apk2026-01-14 15:08 19K 
[   ]perl-catalyst-model-dbic-schema-0.66-r0.apk2025-06-12 03:35 19K 
[   ]py3-pypandoc-1.16.2-r0.apk2026-01-29 05:52 19K 
[   ]php81-pecl-decimal-1.5.0-r1.apk2024-10-26 06:10 19K 
[   ]py3-aioopenssl-pyc-0.6.0-r4.apk2024-10-26 06:10 19K 
[   ]musikcube-plugin-stockencoders-3.0.5-r0.apk2025-09-28 08:15 19K 
[   ]php82-xml-8.2.30-r2.apk2026-01-14 15:08 19K 
[   ]onioncat-doc-4.11.0-r1.apk2026-01-10 03:41 19K 
[   ]perl-b-utils-0.27-r1.apk2025-06-30 18:25 19K 
[   ]py3-trakit-0.2.5-r0.apk2025-11-24 11:46 19K 
[   ]rizin-doc-0.8.1-r0.apk2025-07-01 03:49 19K 
[   ]gtklock-4.0.0-r0.apk2025-02-02 03:09 19K 
[   ]php81-pecl-psr-1.2.0-r0.apk2024-10-26 06:10 19K 
[   ]sdparm-doc-1.12-r1.apk2024-10-26 06:10 19K 
[   ]imrsh-dbg-0_git20210320-r1.apk2024-10-26 06:09 19K 
[   ]shellinabox-doc-2.21-r3.apk2024-10-26 06:10 19K 
[   ]uclient-20251003-r0.apk2026-01-06 06:39 19K 
[   ]py3-schema-0.7.7-r0.apk2025-07-08 03:10 19K 
[   ]bootinfo-0.1.0-r4.apk2024-10-26 06:08 19K 
[   ]kodi-game-libretro-mgba-0.11.0.44-r0.apk2024-10-26 06:09 19K 
[   ]ampy-pyc-1.1.0-r6.apk2025-03-26 22:50 19K 
[   ]py3-pytest-subprocess-1.5.2-r0.apk2024-10-26 06:10 20K 
[   ]lua-lupa-1.0-r0.apk2024-10-26 06:09 20K 
[   ]libofx-dev-0.10.9-r1.apk2024-10-26 06:09 20K 
[   ]hyfetch-doc-2.0.5-r0.apk2025-11-22 09:33 20K 
[   ]liblastfm-qt-dev-1.1.10_git20190823-r3.apk2024-10-26 06:09 20K 
[   ]py3-wbdata-pyc-1.1.0-r0.apk2026-01-15 15:57 20K 
[   ]libmrss-0.19.2-r1.apk2024-10-26 06:09 20K 
[   ]py3-deluge-client-pyc-1.10.2-r0.apk2024-10-26 06:10 20K 
[   ]pimd-dense-doc-2.1.0-r0.apk2024-10-26 06:10 20K 
[   ]kodi-game-libretro-beetle-saturn-1.29.0.40-r0.apk2024-10-26 06:09 20K 
[   ]py3-gevent-websocket-0.10.1-r8.apk2024-10-26 06:10 20K 
[   ]perl-test-trap-0.3.5-r1.apk2024-10-26 06:10 20K 
[   ]circuslinux-1.0.3-r1.apk2024-10-26 06:08 20K 
[   ]py3-flask-restaction-pyc-0.25.3-r8.apk2024-10-26 06:10 20K 
[   ]wsmancli-2.8.0-r0.apk2025-07-15 00:34 20K 
[   ]linuxptp-tz2alt-4.4-r0.apk2024-11-20 11:45 20K 
[   ]kodi-game-libretro-beetle-supergrafx-1.29.0.39-r0.apk2024-10-26 06:09 20K 
[   ]perl-ffi-c-0.15-r0.apk2024-10-26 06:10 20K 
[   ]vim-rust-305-r1.apk2025-08-21 18:55 20K 
[   ]perl-test-trap-doc-0.3.5-r1.apk2024-10-26 06:10 20K 
[   ]perl-tree-simple-visitorfactory-0.16-r0.apk2025-03-26 22:51 20K 
[   ]libnxml-0.18.3-r0.apk2024-10-26 06:09 20K 
[   ]iprange-1.0.4-r1.apk2024-10-26 06:09 20K 
[   ]py3-incoming-pyc-0.3.1-r8.apk2024-10-26 06:10 20K 
[   ]apk-snap-doc-3.1.1-r0.apk2024-10-26 06:08 20K 
[   ]xfce4-panel-profiles-doc-1.1.1-r1.apk2025-11-24 23:53 20K 
[   ]xkb-switch-1.8.5-r1.apk2025-05-15 05:17 20K 
[   ]portsmf-dev-239-r2.apk2025-10-14 07:02 20K 
[   ]greetd-mini-wl-greeter-0_git20230821-r0.apk2024-10-26 06:09 20K 
[   ]fcitx5-mozc-doc-2.32.5994.102.20251109-r0.apk2025-11-17 10:39 20K 
[   ]ydcv-0.7-r8.apk2024-10-26 06:11 20K 
[   ]readosm-dev-1.1.0-r3.apk2025-05-14 09:00 20K 
[   ]lomiri-app-launch-dev-0.1.12-r5.apk2025-12-19 01:26 20K 
[   ]py3-piccata-2.0.3-r1.apk2024-10-26 06:10 20K 
[   ]ruby-minitest-reporters-1.7.1-r0.apk2025-07-07 05:24 20K 
[   ]ddgr-2.2-r0.apk2024-10-26 06:08 20K 
[   ]php81-gmp-8.1.34-r1.apk2026-01-14 15:08 20K 
[   ]py3-senf-1.5.0-r0.apk2025-06-27 13:58 20K 
[   ]yamkix-pyc-0.14.0-r0.apk2025-12-23 06:55 20K 
[   ]perl-sql-abstract-classic-doc-1.91-r1.apk2024-10-26 06:10 20K 
[   ]kcbench-doc-0.9.14-r0.apk2026-01-08 13:33 20K 
[   ]minimodem-0.24-r1.apk2024-10-26 06:10 20K 
[   ]py3-imageio-ffmpeg-pyc-0.4.9-r1.apk2024-10-26 06:10 20K 
[   ]perl-web-machine-0.17-r0.apk2025-06-14 05:17 20K 
[   ]lbb-doc-0.10.4-r2.apk2026-01-18 09:42 20K 
[   ]ptpd-doc-2.3.1-r1.apk2024-10-26 06:10 20K 
[   ]perl-expect-doc-1.38-r0.apk2025-04-20 06:02 20K 
[   ]libcyaml-1.4.2-r0.apk2024-10-26 06:09 20K 
[   ]php81-snmp-8.1.34-r1.apk2026-01-14 15:08 20K 
[   ]py3-libnacl-2.1.0-r1.apk2024-10-26 06:10 20K 
[   ]py3-nmap-0.7.1-r4.apk2024-10-26 06:10 20K 
[   ]perl-net-patricia-1.24-r0.apk2025-11-21 08:27 20K 
[   ]libiscsi-dev-1.19.0-r2.apk2024-10-26 06:09 20K 
[   ]dulcepan-1.0.2-r0.apk2024-10-26 06:09 20K 
[   ]musikcube-plugin-mpris-3.0.5-r0.apk2025-09-28 08:15 20K 
[   ]py3-pygelbooru-1.0.0-r0.apk2025-07-30 15:28 20K 
[   ]py3-pyisbn-1.3.1-r3.apk2024-10-26 06:10 20K 
[   ]sqm-scripts-1.6.0-r0.apk2024-10-26 06:10 20K 
[   ]nmap-parse-output-1.5.1-r1.apk2025-05-29 23:00 20K 
[   ]py3-sphinxcontrib-restbuilder-pyc-0.3-r6.apk2024-10-26 06:10 21K 
[   ]eiwd-doc-3.10-r0.apk2025-10-10 04:37 21K 
[   ]py3-schema-pyc-0.7.7-r0.apk2025-07-08 03:10 21K 
[   ]py3-teletype-pyc-1.3.4-r3.apk2024-10-26 06:10 21K 
[   ]php81-pecl-ast-1.1.3-r0.apk2025-08-11 04:43 21K 
[   ]tang-doc-15-r0.apk2025-01-26 17:27 21K 
[   ]py3-poetry-dynamic-versioning-1.9.1-r0.apk2025-07-26 11:11 21K 
[   ]php81-pecl-smbclient-1.2.0_pre-r0.apk2024-12-11 06:01 21K 
[   ]htmlcxx-dev-0.87-r1.apk2024-10-26 06:09 21K 
[   ]libgrapheme-doc-2.0.2-r0.apk2025-07-23 15:04 21K 
[   ]php82-pecl-ast-1.1.3-r0.apk2025-10-24 13:09 21K 
[   ]zycore-1.5.0-r1.apk2025-07-12 17:31 21K 
[   ]hare-http-0.25.2.0-r1.apk2025-08-10 12:01 21K 
[   ]php82-gmp-8.2.30-r2.apk2026-01-14 15:08 21K 
[   ]py3-altgraph-0.17.4-r1.apk2024-10-26 06:10 21K 
[   ]php82-pecl-smbclient-1.2.0_pre-r0.apk2025-10-24 13:09 21K 
[   ]detox-doc-2.0.0-r0.apk2024-10-26 06:08 21K 
[   ]php82-pecl-excimer-1.2.5-r0.apk2025-05-21 02:42 21K 
[   ]bestline-0.0_git20211108-r0.apk2024-10-26 06:08 21K 
[   ]php83-pecl-excimer-1.2.5-r0.apk2025-05-21 02:42 21K 
[   ]py3-cobs-1.2.0-r4.apk2024-10-26 06:10 21K 
[   ]py3-aioopenssl-0.6.0-r4.apk2024-10-26 06:10 21K 
[   ]libsquish-libs-1.15-r0.apk2025-12-30 04:36 21K 
[   ]php81-sqlite3-8.1.34-r1.apk2026-01-14 15:08 21K 
[   ]bump2version-1.0.1-r6.apk2024-10-26 06:08 21K 
[   ]tcpbench-3.00-r1.apk2025-09-13 12:00 21K 
[   ]tup-doc-0.7.11-r1.apk2025-06-19 19:44 21K 
[   ]py3-firmata-pyc-1.0.3-r10.apk2024-10-26 06:10 21K 
[   ]kodi-game-libretro-beetle-pce-fast-1.31.0.43-r0.apk2024-10-26 06:09 21K 
[   ]py3-telemetrix-1.20-r3.apk2024-10-26 06:10 21K 
[   ]libiio-pyc-0.25-r2.apk2024-10-26 06:09 21K 
[   ]php82-snmp-8.2.30-r2.apk2026-01-14 15:08 21K 
[   ]py3-numpy-stl-3.2.0-r0.apk2024-12-01 13:04 21K 
[   ]hiawatha-doc-11.6-r1.apk2025-05-27 22:07 21K 
[   ]optee-client-3.20.0-r0.apk2024-10-26 06:10 21K 
[   ]zvbi-doc-0.2.44-r0.apk2025-03-12 09:54 21K 
[   ]perl-flowd-0.9.1-r11.apk2025-06-30 18:25 21K 
[   ]py3-r2pipe-pyc-5.9.0-r0.apk2025-12-30 08:20 21K 
[   ]py3-pure_protobuf-3.1.2-r0.apk2024-10-26 06:10 21K 
[   ]perl-extutils-xsbuilder-doc-0.28-r5.apk2024-10-26 06:10 21K 
[   ]ubase-doc-20200605-r3.apk2024-10-26 06:11 21K 
[   ]sregex-0.0.1-r1.apk2024-10-26 06:10 21K 
[   ]bindfs-1.17.7-r1.apk2025-06-19 19:44 21K 
[   ]nginx-ultimate-bad-bot-blocker-doc-4.2023.10.4046-r1.apk2024-10-26 06:10 21K 
[   ]ruff-lsp-0.0.62-r0.apk2025-03-11 14:55 21K 
[   ]py3-agithub-pyc-2.2.2-r7.apk2025-03-26 22:51 21K 
[   ]py3-linkify-it-py-2.0.3-r1.apk2024-10-26 06:10 21K 
[   ]py3-nptyping-2.5.0-r3.apk2024-10-26 06:10 21K 
[   ]py3-sphinxcontrib-hydomain-0.1.0_git20230930-r1.apk2024-10-26 06:10 21K 
[   ]fusee-nano-0.5.3-r1.apk2024-10-26 06:09 21K 
[   ]py3-pypandoc-pyc-1.16.2-r0.apk2026-01-29 05:52 21K 
[   ]horizon-doc-0.9.6-r9.apk2024-10-26 06:09 21K 
[   ]lomiri-indicator-location-25.4.22-r1.apk2025-11-18 00:13 21K 
[   ]py3-mistune1-pyc-0.8.4-r6.apk2025-11-10 05:52 21K 
[   ]py3-actdiag-pyc-3.0.0-r5.apk2024-10-26 06:10 21K 
[   ]varnish-modules-doc-0.26.0-r0.apk2025-07-07 05:24 22K 
[   ]py3-mando-0.8.2-r0.apk2025-12-18 22:02 22K 
[   ]lkrg-doc-0.9.6-r1.apk2025-01-09 22:44 22K 
[   ]php81-dba-8.1.34-r1.apk2026-01-14 15:08 22K 
[   ]starfighter-doc-2.4-r0.apk2024-10-26 06:10 22K 
[   ]ansifilter-doc-2.22-r0.apk2026-01-20 04:09 22K 
[   ]fulcrum-doc-1.9.8-r1.apk2024-10-26 06:09 22K 
[   ]py3-pytest-html-4.1.1-r1.apk2024-10-26 06:10 22K 
[   ]py3-virtualenvwrapper-6.1.1-r1.apk2025-12-18 22:02 22K 
[   ]wiremapper-0.10.0-r0.apk2024-10-26 06:11 22K 
[   ]argocd-bash-completion-3.2.5-r0.apk2026-01-19 05:19 22K 
[   ]py3-py-radix-0.10.0-r11.apk2025-12-31 08:01 22K 
[   ]perl-xml-xpathengine-0.14-r0.apk2025-07-09 03:54 22K 
[   ]cdba-server-1.0-r2.apk2024-10-26 06:08 22K 
[   ]py3-aiowinreg-0.0.13-r0.apk2025-11-03 17:49 22K 
[   ]cups-pdf-3.0.2-r0.apk2025-07-05 08:11 22K 
[   ]perl-alien-base-modulebuild-1.17-r0.apk2025-06-09 03:02 22K 
[   ]py3-pytest-html-pyc-4.1.1-r1.apk2024-10-26 06:10 22K 
[   ]py3-r2pipe-doc-5.9.0-r0.apk2025-12-30 08:20 22K 
[   ]php81-ftp-8.1.34-r1.apk2026-01-14 15:08 22K 
[   ]mbrola-3.3-r0.apk2024-10-26 06:10 22K 
[   ]py3-logtop-0.7-r1.apk2025-08-10 12:01 22K 
[   ]py3-pyroma-4.2-r0.apk2024-10-26 06:10 22K 
[   ]boost1.89-type_erasure-1.89.0-r1.apk2026-01-18 09:42 22K 
[   ]py3-wgconfig-1.1.0-r0.apk2025-01-29 17:50 22K 
[   ]php82-ftp-8.2.30-r2.apk2026-01-14 15:08 22K 
[   ]php81-simplexml-8.1.34-r1.apk2026-01-14 15:08 22K 
[   ]php82-sqlite3-8.2.30-r2.apk2026-01-14 15:08 22K 
[   ]perl-moosex-methodattributes-doc-0.32-r0.apk2025-03-26 22:51 22K 
[   ]php82-simplexml-8.2.30-r2.apk2026-01-14 15:08 22K 
[   ]py3-sphinx-argparse-pyc-0.5.2-r0.apk2024-10-26 06:10 22K 
[   ]ruby-fast_gettext-3.1.0-r0.apk2025-05-26 10:58 22K 
[   ]php82-dba-8.2.30-r2.apk2026-01-14 15:08 22K 
[   ]timewarrior-doc-1.7.1-r0.apk2024-10-26 06:11 22K 
[   ]py3-dexml-0.5.1-r9.apk2024-10-26 06:10 22K 
[   ]tncattach-0.1.9-r1.apk2024-10-26 06:11 22K 
[   ]php81-odbc-8.1.34-r1.apk2026-01-14 15:08 22K 
[   ]py3-coreapi-2.3.3-r9.apk2024-10-26 06:10 22K 
[   ]sblim-sfcc-dev-2.2.8-r3.apk2024-10-26 06:10 22K 
[   ]py3-html5-parser-pyc-0.4.12-r1.apk2024-10-26 06:10 22K 
[   ]xdg-native-messaging-proxy-0.1.0-r0.apk2025-11-28 01:19 22K 
[   ]policycoreutils-doc-3.6-r1.apk2024-10-26 06:10 22K 
[   ]swi-prolog-pyc-9.2.9-r0.apk2024-12-21 21:08 22K 
[   ]py3-pymata-2.20-r4.apk2024-10-26 06:10 23K 
[   ]py3-remind-pyc-0.19.2-r0.apk2025-05-04 07:03 23K 
[   ]postgresql-pg_variables-1.2.5_git20230922-r1.apk2025-11-04 03:17 23K 
[   ]tree-sitter-hcl-1.2.0-r0.apk2025-06-19 11:45 23K 
[   ]py3-geoip-1.3.2-r4.apk2024-10-26 06:10 23K 
[   ]lua5.1-lcurses-9.0.0-r0.apk2024-10-26 06:09 23K 
[   ]perl-conf-libconfig-1.0.3-r2.apk2025-06-30 18:25 23K 
[   ]thunar-gtkhash-plugin-1.5-r0.apk2024-10-26 06:11 23K 
[   ]py3-pyvcd-0.4.1-r0.apk2024-11-12 08:46 23K 
[   ]py3-enzyme-0.5.2-r0.apk2025-07-13 03:01 23K 
[   ]kodi-game-libretro-nestopia-1.52.0.41-r0.apk2024-10-26 06:09 23K 
[   ]py3-pysrt-pyc-1.1.2-r5.apk2025-05-15 05:17 23K 
[   ]py3-simple-websocket-pyc-1.1.0-r0.apk2025-09-07 03:20 23K 
[   ]dooit-extras-pyc-0.2.0-r0.apk2024-12-08 07:23 23K 
[   ]autotrash-0.4.7-r0.apk2024-10-26 06:08 23K 
[   ]nemo-gtkhash-plugin-1.5-r0.apk2024-10-26 06:10 23K 
[   ]zarchive-libs-0.1.2-r2.apk2024-10-26 06:11 23K 
[   ]libsemanage-doc-3.6-r1.apk2024-10-26 06:09 23K 
[   ]tree-sitter-clojure-0.0.13-r0.apk2025-07-23 22:59 23K 
[   ]qmk-cli-pyc-1.2.0-r0.apk2025-11-29 23:10 23K 
[   ]opa-doc-1.12.3-r0.apk2026-01-24 20:40 23K 
[   ]lua5.2-xml-1.1.3-r2.apk2024-10-26 06:09 23K 
[   ]perl-html-formatexternal-doc-26-r0.apk2025-06-08 01:55 23K 
[   ]nim-nmqtt-1.0.7-r0.apk2026-01-25 00:35 23K 
[   ]py3-flask-socketio-doc-5.5.1-r0.apk2025-09-07 03:20 23K 
[   ]py3-pymata4-1.15-r4.apk2024-10-26 06:10 23K 
[   ]pam-krb5-4.11-r1.apk2024-10-26 06:10 23K 
[   ]py3-tasklib-2.5.1-r2.apk2024-10-26 06:10 23K 
[   ]lua5.1-xml-1.1.3-r2.apk2024-10-26 06:09 23K 
[   ]php82-odbc-8.2.30-r2.apk2026-01-14 15:08 23K 
[   ]clevis-doc-21-r0.apk2025-01-26 17:27 23K 
[   ]py3-cstruct-6.1-r0.apk2025-08-22 10:06 23K 
[   ]xmp-4.2.0-r0.apk2024-10-26 06:11 23K 
[   ]kodaskanna-lang-0.2.2-r0.apk2025-01-26 17:27 23K 
[   ]libcyaml-static-1.4.2-r0.apk2024-10-26 06:09 23K 
[   ]perl-getopt-tabular-0.3-r4.apk2024-10-26 06:10 23K 
[   ]pfetch-1.9.4-r0.apk2025-10-23 01:29 23K 
[   ]py3-compdb-0.2.0-r8.apk2024-10-26 06:10 23K 
[   ]harminv-libs-1.4.2-r1.apk2024-10-26 06:09 23K 
[   ]surf-2.1-r3.apk2024-10-26 06:10 23K 
[   ]buildbot-console-view-4.3.0-r1.apk2026-01-24 02:51 23K 
[   ]py3-linkify-it-py-pyc-2.0.3-r1.apk2024-10-26 06:10 23K 
[   ]py3-minidb-pyc-2.0.8-r0.apk2024-11-14 06:44 23K 
[   ]admesh-doc-0.98.5-r0.apk2024-10-26 06:08 23K 
[   ]i2util-4.2.1-r1.apk2024-10-26 06:09 23K 
[   ]shipments-0.3.0-r0.apk2024-10-26 06:10 23K 
[   ]py3-bite-parser-pyc-0.2.5-r0.apk2024-10-29 08:51 23K 
[   ]perl-moosex-role-parameterized-doc-1.11-r0.apk2025-03-26 22:51 24K 
[   ]freealut-dev-1.1.0-r1.apk2024-10-26 06:09 24K 
[   ]msgpuck-dev-2.0-r1.apk2024-10-26 06:10 24K 
[   ]stgit-zsh-completion-2.4.7-r1.apk2024-10-26 06:10 24K 
[   ]py3-timeago-1.0.16-r0.apk2024-10-26 06:10 24K 
[   ]junit2html-pyc-31.0.2-r0.apk2024-10-26 06:09 24K 
[   ]pam-krb5-doc-4.11-r1.apk2024-10-26 06:10 24K 
[   ]py3-ffmpeg-0.2.0-r5.apk2025-05-15 05:17 24K 
[   ]makedumpfile-doc-1.7.8-r0.apk2025-11-06 01:24 24K 
[   ]mdnsd-0.12-r1.apk2024-10-26 06:10 24K 
[   ]curlftpfs-0.9.2-r3.apk2024-10-26 06:08 24K 
[   ]gpscorrelate-cli-2.3-r0.apk2025-03-27 17:36 24K 
[   ]antimicrox-doc-3.5.1-r0.apk2025-06-14 02:19 24K 
[   ]py3-sphinxcontrib-programoutput-pyc-0.17-r5.apk2024-10-26 06:10 24K 
[   ]mcjoin-2.11-r0.apk2024-10-26 06:10 24K 
[   ]zita-resampler-1.11.2-r0.apk2025-04-15 08:16 24K 
[   ]py3-aiosasl-pyc-0.5.0-r4.apk2024-10-26 06:10 24K 
[   ]php81-pecl-mailparse-3.1.9-r0.apk2025-09-30 23:38 24K 
[   ]nitro-init-0.7.1-r0.apk2026-01-26 22:49 24K 
[   ]malcontent-dev-0.13.1-r0.apk2025-09-04 05:27 24K 
[   ]py3-rst2ansi-pyc-0.1.5-r0.apk2024-10-26 06:10 24K 
[   ]php82-pecl-mailparse-3.1.9-r0.apk2025-10-24 13:09 24K 
[   ]cliquer-tests-1.23-r0.apk2025-08-12 15:15 24K 
[   ]newsyslog-doc-1.2.0.91-r1.apk2024-10-26 06:10 24K 
[   ]mame-doc-0.251-r0.apk2024-10-26 06:10 24K 
[   ]py3-hatch-openzim-bootstrap-0.1.0-r0.apk2024-10-26 06:10 24K 
[   ]perl-catalystx-simplelogin-doc-0.21-r0.apk2025-05-07 18:38 24K 
[   ]vidcutter-doc-6.0.5.3-r0.apk2024-10-26 06:11 24K 
[   ]ntpd-rs-doc-1.6.2-r2.apk2025-12-19 04:56 24K 
[   ]py3-mopidy-spotify-5.0.0_alpha3-r0.apk2024-10-26 06:10 24K 
[   ]git-revise-0.7.0-r5.apk2024-10-26 06:09 24K 
[   ]gupnp-dlna-dev-0.12.0-r1.apk2025-08-10 12:01 24K 
[   ]py3-webrtcvad-2.0.10-r1.apk2024-10-26 06:10 24K 
[   ]epr-pyc-2.4.15-r1.apk2024-10-26 06:09 24K 
[   ]py3-remind-0.19.2-r0.apk2025-05-04 07:03 24K 
[   ]py3-queuelib-pyc-1.8.0-r0.apk2025-09-02 05:13 24K 
[   ]py3-spin-pyc-0.8-r0.apk2024-10-26 06:10 24K 
[   ]xed-python-3.8.4-r0.apk2025-10-23 18:55 24K 
[   ]font-siji-20190218_git-r2.apk2024-10-26 06:09 24K 
[   ]py3-hatch-openzim-0.2.0-r0.apk2024-10-26 06:10 25K 
[   ]py3-pytest-subprocess-pyc-1.5.2-r0.apk2024-10-26 06:10 25K 
[   ]nfcd-dev-1.2.4-r0.apk2026-01-01 03:43 25K 
[   ]py3-mopidy-jellyfin-1.0.4-r4.apk2024-10-26 06:10 25K 
[   ]py3-librtmp-pyc-0.3.0-r6.apk2024-10-26 06:10 25K 
[   ]pnmixer-lang-0.7.2-r4.apk2026-01-18 09:42 25K 
[   ]hare-madeline-0.1_git20240505-r1.apk2024-11-29 03:16 25K 
[   ]php81-zip-8.1.34-r1.apk2026-01-14 15:08 25K 
[   ]libcec-rpi-dev-6.0.2-r4.apk2024-10-26 06:09 25K 
[   ]musikcube-plugin-supereqdsp-3.0.5-r0.apk2025-09-28 08:15 25K 
[   ]php81-sodium-8.1.34-r1.apk2026-01-14 15:08 25K 
[   ]py3-iniparse-pyc-0.5-r7.apk2024-10-26 06:10 25K 
[   ]py3-wtf-peewee-pyc-3.0.6-r0.apk2024-10-26 06:10 25K 
[   ]cliquer-libs-1.23-r0.apk2025-08-12 15:15 25K 
[   ]perl-email-sender-2.601-r0.apk2025-04-04 20:43 25K 
[   ]caja-gtkhash-plugin-1.5-r0.apk2024-10-26 06:08 25K 
[   ]py3-urlobject-pyc-2.4.3-r9.apk2024-10-26 06:10 25K 
[   ]gnome-metronome-lang-1.3.0-r0.apk2024-10-26 06:09 25K 
[   ]py3-qt.py-pyc-1.3.10-r1.apk2024-10-26 06:10 25K 
[   ]quickemu-doc-4.9.8-r0.apk2026-01-25 22:42 25K 
[   ]perl-moosex-getopt-doc-0.78-r0.apk2025-03-26 22:51 25K 
[   ]gperftools-2.17-r0.apk2025-08-06 00:00 25K 
[   ]evolution-etesync-lang-1.1.2-r0.apk2026-01-12 04:59 25K 
[   ]py3-mopidy-tidal-0.3.2-r6.apk2024-10-26 06:10 25K 
[   ]py3-dominate-2.9.1-r1.apk2024-10-26 06:10 25K 
[   ]py3-modbus-tk-1.1.1-r4.apk2024-10-26 06:10 25K 
[   ]pmccabe-2.8-r1.apk2024-10-26 06:10 25K 
[   ]vfd-configurations-0_git20230612-r0.apk2024-10-26 06:11 25K 
[   ]libirecovery-1.3.0-r0.apk2025-10-10 04:38 25K 
[   ]smplxmpp-doc-0.9.3-r5.apk2025-10-12 23:09 25K 
[   ]tanidvr-1.4.1-r2.apk2025-03-26 22:51 25K 
[   ]ruby-ruby-progressbar-1.13.0-r0.apk2025-07-07 05:24 25K 
[   ]hilbish-doc-2.3.4-r11.apk2026-01-18 09:42 25K 
[   ]py3-unidns-pyc-0.0.4-r0.apk2025-11-03 17:49 25K 
[   ]knxd-dev-0.14.61-r1.apk2024-12-15 06:38 25K 
[   ]libglib-testing-doc-0.1.1-r0.apk2025-05-08 23:22 25K 
[   ]olsrd-doc-0.9.8-r3.apk2024-10-26 06:10 25K 
[   ]lshell-doc-0.9.18-r12.apk2025-09-07 03:20 25K 
[   ]perl-catalyst-plugin-session-doc-0.43-r0.apk2025-04-04 22:56 25K 
[   ]php81-common-8.1.34-r1.apk2026-01-14 15:08 25K 
[   ]libgrapheme-2.0.2-r0.apk2025-07-23 15:04 25K 
[   ]opkg-utils-0.7.0-r0.apk2024-10-26 06:10 25K 
[   ]py3-pockethernet-pyc-0.7.0-r4.apk2024-10-26 06:10 25K 
[   ]thunarx-python-doc-0.5.2-r2.apk2024-10-26 06:11 25K 
[   ]tnef-1.4.18-r0.apk2024-10-26 06:11 25K 
[   ]snapper-doc-0.13.0-r0.apk2025-09-17 05:45 25K 
[   ]php82-common-8.2.30-r2.apk2026-01-14 15:08 25K 
[   ]p0f-doc-3.09b-r3.apk2024-10-26 06:10 25K 
[   ]dmarc-metrics-exporter-1.2.0-r0.apk2024-11-30 09:02 25K 
[   ]sregex-dev-0.0.1-r1.apk2024-10-26 06:10 25K 
[   ]fast-double-parser-0.8.1-r0.apk2025-10-20 21:30 25K 
[   ]perl-catalyst-action-rest-1.21-r0.apk2025-04-03 04:03 25K 
[   ]aoetools-37-r2.apk2025-01-15 02:34 25K 
[   ]libmysofa-1.3.2-r0.apk2024-10-26 06:09 25K 
[   ]py3-nmap-pyc-0.7.1-r4.apk2024-10-26 06:10 25K 
[   ]py3-pysrt-1.1.2-r5.apk2025-05-15 05:17 25K 
[   ]py3-croniter-pyc-6.0.0-r0.apk2025-08-25 20:05 26K 
[   ]ssh-tools-1.8-r0.apk2024-10-26 06:10 26K 
[   ]perl-number-tolerant-doc-1.710-r0.apk2024-10-26 06:10 26K 
[   ]rvlprog-0.91-r2.apk2024-10-26 06:10 26K 
[   ]py3-manuel-pyc-1.13.0-r1.apk2025-10-15 02:04 26K 
[   ]khronos-lang-4.0.1-r0.apk2024-10-26 06:09 26K 
[   ]py3-snapshottest-pyc-0.6.0-r5.apk2024-10-26 06:10 26K 
[   ]py3-flask-mailman-pyc-1.1.1-r0.apk2024-10-26 06:10 26K 
[   ]wol-0.7.1-r3.apk2024-10-26 06:11 26K 
[   ]php82-sodium-8.2.30-r2.apk2026-01-14 15:08 26K 
[   ]lomiri-location-service-lang-3.3.0-r6.apk2026-01-16 05:17 26K 
[   ]py3-croniter-6.0.0-r0.apk2025-08-25 20:05 26K 
[   ]py3-icalendar-searcher-pyc-1.0.3-r0.apk2025-12-08 06:13 26K 
[   ]libtsm-4.3.0-r0.apk2026-01-10 03:02 26K 
[   ]ruby-ansi-1.5.0-r0.apk2025-07-07 05:24 26K 
[   ]ip2location-8.6.1-r0.apk2024-10-26 06:09 26K 
[   ]lomiri-url-dispatcher-lang-0.1.4-r0.apk2025-05-10 04:27 26K 
[   ]py3-asif-pyc-0.3.2-r3.apk2024-10-26 06:10 26K 
[   ]py3-unoconv-0.9.0-r4.apk2025-05-14 09:00 26K 
[   ]ropgadget-7.7-r0.apk2026-01-01 01:55 26K 
[   ]py3-flask-socketio-pyc-5.5.1-r0.apk2025-09-07 03:20 26K 
[   ]py3-pyroma-pyc-4.2-r0.apk2024-10-26 06:10 26K 
[   ]kodi-game-libretro-snes9x-1.62.3.45-r0.apk2024-10-26 06:09 26K 
[   ]py3-milc-1.9.1-r0.apk2025-01-26 17:27 26K 
[   ]tre-0.8.0-r2.apk2024-10-26 06:11 26K 
[   ]py3-libacl-0.7.3-r0.apk2025-10-17 09:02 26K 
[   ]dvisvgm-doc-3.4.4-r0.apk2025-05-19 09:43 26K 
[   ]deviced-dev-0_git20250427-r0.apk2025-07-06 07:03 26K 
[   ]gtypist-lang-2.10.1-r0.apk2025-10-13 07:59 26K 
[   ]postgresql-hll-2.18-r0.apk2024-10-26 06:10 26K 
[   ]arc-dark-gnome-20221218-r1.apk2026-01-22 05:07 26K 
[   ]sipgrep-2.2.0-r1.apk2024-10-26 06:10 26K 
[   ]mirrorhall-0.1.1-r2.apk2025-08-10 12:01 26K 
[   ]py3-sqlmodel-0.0.22-r1.apk2024-12-07 10:03 26K 
[   ]3proxy-doc-0.9.5-r1.apk2025-11-18 00:13 26K 
[   ]py3-poetry-dynamic-versioning-pyc-1.9.1-r0.apk2025-07-26 11:11 26K 
[   ]switcheroo-control-doc-3.0-r0.apk2026-01-29 08:26 26K 
[   ]lua-mqtt-3.4.3-r0.apk2026-01-23 11:24 26K 
[   ]dehydrated-0.7.1-r0.apk2024-10-26 06:08 26K 
[   ]lrcalc-libs-2.1-r1.apk2024-10-26 06:09 26K 
[   ]sfwbar-doc-1.0_beta161-r0.apk2025-08-01 00:29 27K 
[   ]perl-module-cpants-analyse-1.02-r0.apk2025-07-13 00:43 27K 
[   ]py3-soapy_power-pyc-1.6.1-r5.apk2024-10-26 06:10 27K 
[   ]contractor-0.3.5-r0.apk2024-11-13 08:56 27K 
[   ]kanidm-bash-completion-1.8.5-r1.apk2026-01-12 05:22 27K 
[   ]pgqd-3.5-r0.apk2026-01-29 04:46 27K 
[   ]xpar-0.7-r0.apk2025-09-28 01:33 27K 
[   ]charls-dev-2.4.2-r0.apk2024-10-26 06:08 27K 
[   ]py3-flask-limiter-3.10.1-r0.apk2025-01-26 17:27 27K 
[   ]nwg-displays-0.3.26-r0.apk2025-08-29 03:59 27K 
[   ]py3-feedgenerator-pyc-2.1.0-r2.apk2024-10-26 06:10 27K 
[   ]debconf-doc-1.5.82-r0.apk2024-10-26 06:08 27K 
[   ]py3-dunamai-1.25.0-r0.apk2025-07-26 09:23 27K 
[   ]perl-clipboard-doc-0.32-r1.apk2025-10-14 03:00 27K 
[   ]perl-math-int64-0.57-r2.apk2025-06-30 18:25 27K 
[   ]php82-zip-8.2.30-r2.apk2026-01-14 15:08 27K 
[   ]keepsecret-lang-1.0.0-r0.apk2025-12-16 03:22 27K 
[   ]py3-mbedtls-pyc-2.10.1-r3.apk2025-05-29 23:00 27K 
[   ]admesh-0.98.5-r0.apk2024-10-26 06:08 27K 
[   ]perl-catalyst-action-rest-doc-1.21-r0.apk2025-04-03 04:03 27K 
[   ]php81-pecl-msgpack-3.0.0-r0.apk2024-10-26 06:10 27K 
[   ]gtkwave-doc-3.3.120-r0.apk2024-10-26 06:09 27K 
[   ]php82-pecl-msgpack-3.0.0-r0.apk2025-10-24 13:09 27K 
[   ]vcsh-doc-2.0.5-r0.apk2024-10-26 06:11 27K 
[   ]nm-tray-lang-0.5.1-r0.apk2025-09-12 04:35 27K 
[   ]e16-doc-1.0.30-r0.apk2024-11-06 00:22 27K 
[   ]lomiri-indicator-location-lang-25.4.22-r1.apk2025-11-18 00:13 27K 
[   ]ffmpeg4-libpostproc-4.4.6-r0.apk2026-01-04 12:24 27K 
[   ]py3-evohome-client-pyc-0.3.9-r0.apk2025-07-14 08:33 27K 
[   ]php82-pecl-ssh2-1.4.1-r0.apk2025-10-24 13:09 27K 
[   ]boost1.89-process-1.89.0-r1.apk2026-01-18 09:42 27K 
[   ]py3-dataclasses-json-0.6.7-r0.apk2024-10-26 06:10 27K 
[   ]turntable-lang-0.5.1-r0.apk2026-01-08 13:33 27K 
[   ]dwl-0.7-r0.apk2024-10-26 06:09 27K 
[   ]py3-furl-2.1.4-r0.apk2025-07-14 08:52 28K 
[   ]elementary-dock-lang-8.0.2-r0.apk2025-05-25 09:57 28K 
[   ]stgit-emacs-2.4.7-r1.apk2024-10-26 06:10 28K 
[   ]jdupes-1.28.0-r0.apk2024-10-26 06:09 28K 
[   ]perl-sql-abstract-more-1.44-r0.apk2025-07-14 18:46 28K 
[   ]perl-xml-bare-0.53-r14.apk2025-06-30 18:25 28K 
[   ]py3-timeago-pyc-1.0.16-r0.apk2024-10-26 06:10 28K 
[   ]libaudec-0.3.4-r3.apk2024-10-26 06:09 28K 
[   ]conserver-doc-8.2.7-r0.apk2025-12-19 04:45 28K 
[   ]arc-gnome-20221218-r1.apk2026-01-22 05:07 28K 
[   ]py3-bidict-0.23.1-r1.apk2024-10-26 06:10 28K 
[   ]lomiri-trust-store-lang-2.0.2-r14.apk2025-12-19 01:26 28K 
[   ]py3-ecos-2.0.11-r4.apk2024-10-26 06:10 28K 
[   ]py3-proxmoxer-pyc-2.2.0-r0.apk2024-12-16 22:36 28K 
[   ]py3-mopidy-local-3.3.0-r0.apk2025-01-02 09:38 28K 
[   ]kodi-audioencoder-wav-20.2.0-r1.apk2024-10-26 06:09 28K 
[   ]dcnnt-0.10.0-r1.apk2024-10-26 06:08 28K 
[   ]libaudec-tools-0.3.4-r3.apk2024-10-26 06:09 28K 
[   ]perl-barcode-zbar-0.10-r4.apk2025-06-30 18:25 28K 
[   ]py3-numpy-stl-pyc-3.2.0-r0.apk2024-12-01 13:04 28K 
[   ]py3-libmdbx-0.10.2-r7.apk2024-10-26 06:10 28K 
[   ]ecos-dev-2.0.10-r0.apk2024-10-26 06:09 28K 
[   ]randrctl-1.10.0-r0.apk2024-11-20 11:45 28K 
[   ]ostui-doc-1.0.4-r0.apk2025-09-11 13:50 28K 
[   ]shadowsocks-libev-doc-3.3.5-r4.apk2024-10-26 06:10 28K 
[   ]octoprint-filecheck-2025.7.23-r0.apk2026-01-05 01:26 28K 
[   ]tre-static-0.8.0-r2.apk2024-10-26 06:11 28K 
[   ]rdrview-0.1.3-r0.apk2025-02-23 06:23 28K 
[   ]fatback-1.3-r2.apk2024-10-26 06:09 28K 
[   ]trigger-rally-doc-0.6.7-r3.apk2025-03-26 22:51 28K 
[   ]mimeo-2023-r2.apk2024-10-26 06:10 28K 
[   ]py3-wsgiprox-pyc-1.5.2-r1.apk2024-10-26 06:10 28K 
[   ]py3-helper-pyc-2.5.0-r5.apk2024-10-26 06:10 28K 
[   ]smile-lang-2.11.0-r0.apk2025-12-21 22:40 28K 
[   ]a2jmidid-9-r3.apk2024-10-26 06:08 28K 
[   ]libwbxml-doc-0.11.8-r0.apk2024-10-26 06:09 28K 
[   ]swappy-1.7.1-r0.apk2025-08-22 10:29 28K 
[   ]perl-module-cpants-analyse-doc-1.02-r0.apk2025-07-13 00:43 28K 
[   ]php83-pecl-eio-3.1.4-r0.apk2025-07-23 15:04 28K 
[   ]ptouch-print-1.7-r0.apk2025-09-14 01:13 28K 
[   ]perl-devel-repl-1.003029-r0.apk2025-05-04 07:03 28K 
[   ]perl-mojolicious-plugin-openapi-5.11-r0.apk2025-03-26 22:51 28K 
[   ]throttled-pyc-0.10.0-r1.apk2024-12-16 06:25 28K 
[   ]zita-njbridge-0.4.8-r1.apk2024-10-26 06:11 28K 
[   ]rocm-cmake-6.4.3-r0.apk2025-08-18 22:32 28K 
[   ]php81-pecl-ssh2-1.4.1-r0.apk2024-10-26 06:10 29K 
[   ]mkdocs-bootstrap-1.1.1-r2.apk2024-10-26 06:10 29K 
[   ]optee-client-dev-3.20.0-r0.apk2024-10-26 06:10 29K 
[   ]perl-ffi-c-doc-0.15-r0.apk2024-10-26 06:10 29K 
[   ]himitsu-secret-service-pyc-0.1_git20250705-r1.apk2025-10-21 01:00 29K 
[   ]libnxml-dev-0.18.3-r0.apk2024-10-26 06:09 29K 
[   ]php81-exif-8.1.34-r1.apk2026-01-14 15:08 29K 
[   ]gmic-bash-completion-3.6.0-r2.apk2026-01-04 03:39 29K 
[   ]perl-web-machine-doc-0.17-r0.apk2025-06-14 05:17 29K 
[   ]octoprint-firmwarecheck-2025.7.23-r0.apk2026-01-05 01:26 29K 
[   ]php82-exif-8.2.30-r2.apk2026-01-14 15:08 29K 
[   ]py3-bidict-pyc-0.23.1-r1.apk2024-10-26 06:10 29K 
[   ]ruby-hoe-4.5.1-r0.apk2026-01-04 11:57 29K 
[   ]py3-rospkg-1.2.9-r5.apk2024-10-26 06:10 29K 
[   ]tayga-0.9.6-r0.apk2026-01-05 01:16 29K 
[   ]neo4j-client-2.2.0-r3.apk2024-10-26 06:10 29K 
[   ]py3-tokenizers-pyc-0.21.2-r0.apk2025-06-30 18:25 29K 
[   ]ktx-dev-4.3.2-r1.apk2025-06-10 13:49 29K 
[   ]py3-zipfile2-pyc-0.0.12-r0.apk2024-10-26 06:10 29K 
[   ]ttfautohint-1.8.4-r0.apk2024-10-26 06:11 29K 
[   ]libcli-1.10.7-r0.apk2024-10-26 06:09 29K 
[   ]lxqt-wayland-session-doc-0.3.0-r0.apk2025-11-21 08:27 29K 
[   ]primecount-7.20-r0.apk2025-11-26 06:07 29K 
[   ]lxappearance-0.6.3-r4.apk2026-01-15 02:24 29K 
[   ]bump2version-pyc-1.0.1-r6.apk2024-10-26 06:08 29K 
[   ]py3-altgraph-pyc-0.17.4-r1.apk2024-10-26 06:10 29K 
[   ]materia-gnome-shell-20210322-r4.apk2026-01-20 15:56 29K 
[   ]materia-dark-gnome-shell-20210322-r4.apk2026-01-20 15:56 29K 
[   ]openconnect-sso-0.8.0_git20230822-r0.apk2025-05-14 20:13 29K 
[   ]musikcube-plugin-openmpt-3.0.5-r0.apk2025-09-28 08:15 29K 
[   ]fpp-0.9.5-r0.apk2024-10-26 06:09 29K 
[   ]openconnect-sso-pyc-0.8.0_git20230822-r0.apk2025-05-14 20:13 29K 
[   ]materia-dark-compact-gnome-shell-20210322-r4.apk2026-01-20 15:56 29K 
[   ]materia-compact-gnome-shell-20210322-r4.apk2026-01-20 15:56 29K 
[   ]py3-aiodocker-0.21.0-r1.apk2024-10-26 06:10 29K 
[   ]libxml++-dev-5.4.0-r0.apk2025-02-13 18:45 29K 
[   ]perl-file-mmagic-xs-0.09008-r5.apk2025-06-30 18:25 29K 
[   ]py3-pymata-pyc-2.20-r4.apk2024-10-26 06:10 29K 
[   ]materia-dark-compact-gtk4-20210322-r4.apk2026-01-20 15:56 29K 
[   ]materia-dark-gtk4-20210322-r4.apk2026-01-20 15:56 29K 
[   ]libguestfs-dev-1.56.1-r0.apk2025-07-23 15:04 29K 
[   ]perl-sql-abstract-classic-1.91-r1.apk2024-10-26 06:10 30K 
[   ]py3-liblarch-3.2.0-r6.apk2024-12-09 08:43 30K 
[   ]punch-0.1.1-r0.apk2025-12-10 10:47 30K 
[   ]py3-aiosasl-0.5.0-r4.apk2024-10-26 06:10 30K 
[   ]py3-spotipy-2.24.0-r3.apk2025-10-15 02:04 30K 
[   ]py3-gtkspellcheck-pyc-5.0.3-r1.apk2025-08-10 12:01 30K 
[   ]startup-bridge-udev-2.0.3-r5.apk2024-10-26 06:10 30K 
[   ]fakeroot-tcp-1.32.1-r1.apk2024-10-26 06:09 30K 
[   ]startup-bridge-dconf-2.0.3-r5.apk2024-10-26 06:10 30K 
[   ]it87-src-1_p20240609-r0.apk2024-10-26 06:09 30K 
[   ]simpleble-dev-0.10.4-r0.apk2026-01-13 14:12 30K 
[   ]qoiconv-0.0.0_git20230312-r0.apk2024-10-26 06:10 30K 
[   ]lomiri-location-service-dev-3.3.0-r6.apk2026-01-16 05:17 30K 
[   ]py3-sphinx-theme-epfl-1.1.1-r9.apk2024-10-26 06:10 30K 
[   ]py3-livestream-pyc-2.1.0-r0.apk2024-11-26 09:22 30K 
[   ]py3-svglib-1.5.1-r0.apk2025-06-11 06:57 30K 
[   ]dnscrypt-wrapper-0.4.2-r3.apk2024-10-26 06:08 30K 
[   ]py3-pyte-0.8.2-r3.apk2025-05-14 09:00 30K 
[   ]rtmidi-6.0.0-r0.apk2024-10-26 06:10 30K 
[   ]libcork-dev-0.15.0-r7.apk2024-10-26 06:09 30K 
[   ]gsettings-qt-1.1.0-r0.apk2025-12-09 22:10 30K 
[   ]lomiri-download-manager-lang-0.3.0-r0.apk2025-12-09 22:10 30K 
[   ]gtk-session-lock-0.2.0-r0.apk2025-02-02 03:09 30K 
[   ]py3-spake2-0.9-r0.apk2024-10-26 06:10 30K 
[   ]crossplane-0.5.8-r3.apk2024-10-26 06:08 30K 
[   ]ffms2-doc-5.0-r2.apk2025-09-28 00:54 30K 
[   ]php81-ldap-8.1.34-r1.apk2026-01-14 15:08 30K 
[   ]py3-pytest-regtest-pyc-2.3.5-r0.apk2025-10-13 00:32 30K 
[   ]perl-statistics-descriptive-3.0801-r0.apk2024-10-26 06:10 30K 
[   ]php82-pecl-luasandbox-4.1.2-r0.apk2025-10-24 13:09 30K 
[   ]par-doc-1.53.0-r1.apk2024-10-26 06:10 30K 
[   ]pounce-3.1-r4.apk2025-09-13 12:00 30K 
[   ]py3-libnacl-pyc-2.1.0-r1.apk2024-10-26 06:10 30K 
[   ]ldapdomaindump-pyc-0.10.0-r0.apk2025-07-31 06:18 30K 
[   ]curtail-1.13.0-r0.apk2025-07-06 07:39 30K 
[   ]py3-gevent-websocket-pyc-0.10.1-r8.apk2024-10-26 06:10 30K 
[   ]libmrss-dev-0.19.2-r1.apk2024-10-26 06:09 30K 
[   ]punch-pyc-0.1.1-r0.apk2025-12-10 10:47 31K 
[   ]cliquer-static-1.23-r0.apk2025-08-12 15:15 31K 
[   ]libaudec-static-0.3.4-r3.apk2024-10-26 06:09 31K 
[   ]createrepo_c-dev-1.1.4-r1.apk2025-12-31 07:57 31K 
[   ]php82-ldap-8.2.30-r2.apk2026-01-14 15:08 31K 
[   ]jbigkit-dev-2.1-r2.apk2024-10-26 06:09 31K 
[   ]libsigrok-dev-0.5.2-r3.apk2024-10-26 06:09 31K 
[   ]php85-pecl-luasandbox-4.1.3-r0.apk2025-12-20 22:45 31K 
[   ]py3-rich-click-1.7.3-r1.apk2024-10-26 06:10 31K 
[   ]paprefs-1.2-r2.apk2024-11-23 09:11 31K 
[   ]isomd5sum-1.2.5-r0.apk2025-07-15 19:02 31K 
[   ]py3-pymata4-pyc-1.15-r4.apk2024-10-26 06:10 31K 
[   ]php81-pecl-luasandbox-4.1.2-r0.apk2024-10-26 06:10 31K 
[   ]boost1.89-iostreams-1.89.0-r1.apk2026-01-18 09:42 31K 
[   ]blip-doc-0.10-r0.apk2024-10-26 06:08 31K 
[   ]py3-osmocom-0.0.11-r0.apk2026-01-29 12:28 31K 
[   ]py3-telemetrix-pyc-1.20-r3.apk2024-10-26 06:10 31K 
[   ]tcl-curl-7.22.0-r0.apk2024-10-26 06:10 31K 
[   ]libbamf-doc-0.5.6-r1.apk2024-10-26 06:09 31K 
[   ]php82-pecl-runkit7-4.0.0_alpha6-r1.apk2024-10-26 06:10 31K 
[   ]randrctl-pyc-1.10.0-r0.apk2024-11-20 11:45 31K 
[   ]vali-0.1.1-r0.apk2026-01-26 06:00 31K 
[   ]ccrtp-doc-2.1.2-r0.apk2024-10-26 06:08 31K 
[   ]mint-x-theme-xfwm4-2.3.7-r1.apk2026-01-25 01:18 31K 
[   ]py3-sphinx-autoapi-3.6.1-r0.apk2025-10-11 21:59 31K 
[   ]plplot-5.15.0-r2.apk2024-10-26 06:10 31K 
[   ]octoprint-pisupport-2025.7.23-r0.apk2026-01-05 01:26 31K 
[   ]lizardfs-cgi-3.13.0-r17.apk2025-06-19 19:44 31K 
[   ]pdfcrack-0.21-r0.apk2025-11-19 19:08 32K 
[   ]py3-radon-6.0.1-r2.apk2024-10-26 06:10 32K 
[   ]py3-c3d-0.6.0-r1.apk2026-01-15 05:57 32K 
[   ]libfort-0.4.2-r0.apk2024-10-26 06:09 32K 
[   ]py3-pymeta3-pyc-0.5.1-r6.apk2024-10-26 06:10 32K 
[   ]php84-pecl-runkit7-4.0.0_alpha6-r0.apk2025-12-31 08:15 32K 
[   ]php83-pecl-zmq-1.1.4-r0.apk2024-10-26 06:10 32K 
[   ]refine-lang-0.7.0-r0.apk2026-01-05 01:48 32K 
[   ]php85-pecl-runkit7-4.0.0_alpha6-r0.apk2025-12-31 08:15 32K 
[   ]py3-pymaging-pyc-0.0.20130908-r10.apk2024-10-26 06:10 32K 
[   ]py3-knowit-0.5.11-r0.apk2025-11-24 11:46 32K 
[   ]mnamer-2.5.5-r1.apk2024-10-26 06:10 32K 
[   ]jedi-language-server-0.45.1-r0.apk2025-05-04 07:03 32K 
[   ]php81-imap-8.1.34-r1.apk2026-01-14 15:08 32K 
[   ]libgrapheme-dev-2.0.2-r0.apk2025-07-23 15:04 32K 
[   ]kdiskmark-lang-3.2.0-r0.apk2025-07-01 03:46 32K 
[   ]lomiri-api-0.2.3-r0.apk2025-10-26 07:19 32K 
[   ]numbat-doc-1.16.0-r0.apk2025-08-20 00:10 32K 
[   ]tang-dbg-15-r0.apk2025-01-26 17:27 32K 
[   ]php82-imap-8.2.30-r2.apk2026-01-14 15:08 32K 
[   ]py3-nptyping-pyc-2.5.0-r3.apk2024-10-26 06:10 32K 
[   ]spacenavd-1.3.1-r0.apk2026-01-10 03:02 32K 
[   ]linuxptp-nsm-4.4-r0.apk2024-11-20 11:45 32K 
[   ]btfs-2.24-r12.apk2024-10-26 06:08 32K 
[   ]lomiri-api-dev-0.2.3-r0.apk2025-10-26 07:19 32K 
[   ]php81-pecl-igbinary-3.2.17_rc1-r0.apk2025-11-28 08:26 32K 
[   ]perl-catalyst-plugin-authentication-0.10024-r0.apk2025-04-03 04:03 32K 
[   ]py3-pysonic-pyc-1.0.3-r0.apk2025-04-18 05:34 32K 
[   ]py3-yapsy-1.12.2-r7.apk2024-10-26 06:10 32K 
[   ]handlebars-dev-1.0.0-r1.apk2024-10-26 06:09 32K 
[   ]perl-expect-1.38-r0.apk2025-04-20 06:02 32K 
[   ]py3-furl-pyc-2.1.4-r0.apk2025-07-14 08:52 32K 
[   ]py3-lunr-0.6.2-r4.apk2024-10-26 06:10 32K 
[   ]py3-senf-pyc-1.5.0-r0.apk2025-06-27 13:58 32K 
[   ]py3-django-suit-pyc-0.2.28-r8.apk2024-10-26 06:10 32K 
[   ]foolsm-1.0.21-r0.apk2024-10-26 06:09 33K 
[   ]pptpclient-1.10.0-r6.apk2025-06-30 18:25 33K 
[   ]py3-euclid3-pyc-0.01-r8.apk2024-10-26 06:10 33K 
[   ]boost1.89-fiber-1.89.0-r1.apk2026-01-18 09:42 33K 
[   ]unit-php82-1.35.0-r0.apk2025-10-24 13:09 33K 
[   ]unit-php81-1.35.0-r1.apk2025-09-16 02:03 33K 
[   ]py3-ffmpeg-pyc-0.2.0-r5.apk2025-05-15 05:17 33K 
[   ]boost1.89-prg_exec_monitor-1.89.0-r1.apk2026-01-18 09:42 33K 
[   ]ngs-aws-0.2.14-r0.apk2024-10-26 06:10 33K 
[   ]py3-qt.py-1.3.10-r1.apk2024-10-26 06:10 33K 
[   ]crazydiskinfo-1.1.0-r1.apk2024-10-26 06:08 33K 
[   ]py3-libmdbx-pyc-0.10.2-r7.apk2024-10-26 06:10 33K 
[   ]hddfancontrol-1.6.2-r0.apk2024-10-26 06:09 33K 
[   ]tree-sitter-hare-0_git20230616-r2.apk2025-07-23 15:04 33K 
[   ]cluster-glue-doc-1.0.12-r5.apk2024-10-26 06:08 33K 
[   ]libqtdbustest-0.4.0-r0.apk2025-09-04 06:33 33K 
[   ]php82-pecl-igbinary-3.2.17_rc1-r0.apk2025-11-28 08:26 33K 
[   ]droidcam-gui-2.1.3-r3.apk2025-09-28 00:54 33K 
[   ]i3status-rust-doc-0.34.0-r0.apk2025-07-23 15:04 33K 
[   ]bakelite-0.4.2-r0.apk2024-10-26 06:08 33K 
[   ]urlwatch-doc-2.28-r2.apk2024-10-26 06:11 33K 
[   ]obnc-doc-0.17.2-r0.apk2025-05-26 10:37 33K 
[   ]oblibs-0.3.4.0-r0.apk2025-06-02 02:51 33K 
[   ]py3-engineio-doc-4.12.3-r0.apk2025-11-27 20:35 33K 
[   ]perl-mojolicious-plugin-openapi-doc-5.11-r0.apk2025-03-26 22:51 33K 
[   ]py3-pltable-pyc-1.1.0-r1.apk2024-11-13 17:46 33K 
[   ]mm-common-doc-1.0.7-r0.apk2025-06-28 03:39 33K 
[   ]py3-limits-3.14.1-r0.apk2024-12-26 05:57 33K 
[   ]py3-sphinxcontrib-cartouche-pyc-1.1.2-r7.apk2024-10-26 06:10 34K 
[   ]perl-lingua-stem-doc-2.31-r0.apk2025-06-09 03:02 34K 
[   ]py3-pathvalidate-pyc-3.3.1-r0.apk2025-07-13 02:21 34K 
[   ]ffmpeg4-4.4.6-r0.apk2026-01-04 12:24 34K 
[   ]bgpq4-1.15-r0.apk2024-10-26 06:08 34K 
[   ]bcg729-1.1.1-r1.apk2025-10-15 19:20 34K 
[   ]jhead-3.08-r0.apk2024-10-26 06:09 34K 
[   ]jalv-gtk-1.6.8-r1.apk2024-10-26 06:09 34K 
[   ]recoll-doc-1.43.9-r0.apk2025-12-28 19:01 34K 
[   ]py3-dominate-pyc-2.9.1-r1.apk2024-10-26 06:10 34K 
[   ]perl-json-validator-doc-5.15-r0.apk2025-03-26 22:51 34K 
[   ]musikcube-plugin-taglibreader-3.0.5-r0.apk2025-09-28 08:15 34K 
[   ]py3-sphinxcontrib-httpdomain-pyc-1.8.1-r3.apk2024-10-26 06:10 34K 
[   ]aufs-util-doc-20161219-r3.apk2024-10-26 06:08 34K 
[   ]qperf-0.4.11-r2.apk2025-05-14 09:00 34K 
[   ]jack_capture-0.9.73_git20210429-r2.apk2024-10-26 06:09 34K 
[   ]buildbot-waterfall-view-4.3.0-r1.apk2026-01-24 02:51 34K 
[   ]trantor-dev-1.5.18-r0.apk2024-10-26 06:11 34K 
[   ]py3-trivup-0.12.2-r2.apk2024-10-26 06:10 34K 
[   ]hddfancontrol-pyc-1.6.2-r0.apk2024-10-26 06:09 34K 
[   ]py3-mopidy-local-pyc-3.3.0-r0.apk2025-01-02 09:38 34K 
[   ]litterbox-1.9-r2.apk2025-09-13 12:00 34K 
[   ]lavacli-doc-2.4-r0.apk2025-06-15 15:00 34K 
[   ]py3-piccata-pyc-2.0.3-r1.apk2024-10-26 06:10 34K 
[   ]boost1.89-contract-1.89.0-r1.apk2026-01-18 09:42 34K 
[   ]aravis-dev-0.8.31-r0.apk2024-10-26 06:08 34K 
[   ]caffeine-ng-lang-4.2.0-r1.apk2024-10-26 06:08 34K 
[   ]py3-aesedb-0.1.8-r0.apk2025-11-03 17:49 34K 
[   ]sblim-sfcc-doc-2.2.8-r3.apk2024-10-26 06:10 35K 
[   ]pimd-doc-3.0_git20220201-r0.apk2024-10-26 06:10 35K 
[   ]py3-pysonic-1.0.3-r0.apk2025-04-18 05:34 35K 
[   ]libmdf-1.0.29-r0.apk2024-10-26 06:09 35K 
[   ]dfl-login1-0.3.0-r0.apk2025-08-21 18:47 35K 
[   ]py3-ovos-config-pyc-2.1.1-r0.apk2025-07-16 05:37 35K 
[   ]vcstool-0.3.0-r5.apk2024-10-26 06:11 35K 
[   ]py3-latex2mathml-pyc-3.78.1-r1.apk2025-09-30 06:07 35K 
[   ]dnsperf-doc-2.14.0-r0.apk2024-10-26 06:08 35K 
[   ]py3-icalendar-searcher-1.0.3-r0.apk2025-12-08 06:13 35K 
[   ]php81-session-8.1.34-r1.apk2026-01-14 15:08 35K 
[   ]ruff-lsp-pyc-0.0.62-r0.apk2025-03-11 14:55 35K 
[   ]py3-pymaging-png-0.0.20130727-r10.apk2024-10-26 06:10 35K 
[   ]linuxptp-pmc-4.4-r0.apk2024-11-20 11:45 35K 
[   ]lshell-pyc-0.9.18-r12.apk2025-09-07 03:20 35K 
[   ]py3-pyautogui-0.9.53-r5.apk2024-10-26 06:10 35K 
[   ]srain-lang-1.8.1-r0.apk2025-06-27 06:59 35K 
[   ]libretro-freeintv-0_git20220319-r0.apk2024-10-26 06:09 35K 
[   ]elementary-camera-lang-8.0.2-r0.apk2025-09-02 13:25 35K 
[   ]luapak-0.1.0_beta5-r0.apk2024-10-26 06:09 35K 
[   ]py3-mando-pyc-0.8.2-r0.apk2025-12-18 22:02 35K 
[   ]py3-cookiecutter-2.6.0-r1.apk2024-10-26 06:10 35K 
[   ]xfce4-hamster-plugin-1.17-r0.apk2024-10-26 06:11 35K 
[   ]mat2-0.13.5-r0.apk2025-09-17 06:00 35K 
[   ]autoremove-torrents-1.5.5-r0.apk2024-10-26 06:08 35K 
[   ]tofu-ls-doc-0.2.0-r2.apk2026-01-18 09:42 35K 
[   ]linuxptp-ts2phc-4.4-r0.apk2024-11-20 11:45 35K 
[   ]php81-pecl-oauth-2.0.10-r0.apk2025-10-10 05:37 36K 
[   ]php81-sockets-8.1.34-r1.apk2026-01-14 15:08 36K 
[   ]php85-pecl-oauth-2.0.10-r0.apk2025-10-10 05:37 36K 
[   ]py3-pillow_heif-pyc-0.18.0-r0.apk2024-10-26 06:10 36K 
[   ]refine-0.7.0-r0.apk2026-01-05 01:48 36K 
[   ]py3-bitstruct-8.19.0-r1.apk2024-10-26 06:10 36K 
[   ]kodi-audioencoder-vorbis-20.2.0-r1.apk2024-10-26 06:09 36K 
[   ]libcork-0.15.0-r7.apk2024-10-26 06:09 36K 
[   ]php82-session-8.2.30-r2.apk2026-01-14 15:08 36K 
[   ]razercfg-pyc-0.42-r7.apk2024-10-26 06:10 36K 
[   ]py3-mopidy-tidal-pyc-0.3.2-r6.apk2024-10-26 06:10 36K 
[   ]py3-asysocks-examples-0.2.18-r0.apk2025-11-03 17:49 36K 
[   ]py3-dataclasses-json-pyc-0.6.7-r0.apk2024-10-26 06:10 36K 
[   ]hardened-malloc-13-r0.apk2024-10-26 06:09 36K 
[   ]php82-pecl-oauth-2.0.10-r0.apk2025-10-10 05:37 36K 
[   ]dfu-programmer-1.1.0-r0.apk2024-10-26 06:08 36K 
[   ]php83-pecl-oauth-2.0.10-r0.apk2025-10-10 05:37 36K 
[   ]ovos-audio-pyc-1.0.1-r0.apk2025-07-16 07:03 36K 
[   ]php84-pecl-oauth-2.0.10-r0.apk2025-10-10 05:37 36K 
[   ]phosh-tour-lang-0.52.0-r0.apk2026-01-05 03:13 36K 
[   ]py3-pysubs2-1.8.0-r0.apk2024-12-26 09:09 36K 
[   ]php81-curl-8.1.34-r1.apk2026-01-14 15:08 36K 
[   ]py3-librtmp-0.3.0-r6.apk2024-10-26 06:10 36K 
[   ]py3-socketio-doc-5.13.0-r0.apk2025-09-07 03:20 36K 
[   ]nwg-displays-pyc-0.3.26-r0.apk2025-08-29 03:59 36K 
[   ]linuxptp-phc2sys-4.4-r0.apk2024-11-20 11:45 36K 
[   ]libmpfi-1.5.4-r2.apk2024-10-26 06:09 36K 
[   ]gmcapsule-0.9.8-r0.apk2025-10-10 04:38 36K 
[   ]lshell-0.9.18-r12.apk2025-09-07 03:20 36K 
[   ]moon-buggy-1.0.51-r1.apk2024-10-26 06:10 36K 
[   ]burp-server-3.2.0-r0.apk2026-01-18 13:41 36K 
[   ]ansible-bender-0.10.1-r2.apk2024-10-26 06:08 36K 
[   ]php82-sockets-8.2.30-r2.apk2026-01-14 15:08 36K 
[   ]peg-0.1.18-r1.apk2024-10-26 06:10 36K 
[   ]py3-pyqrcode-1.2.1-r0.apk2024-10-26 06:10 37K 
[   ]gmenuharness-0.1.4-r2.apk2025-02-18 02:07 37K 
[   ]py3-mopidy-jellyfin-pyc-1.0.4-r4.apk2024-10-26 06:10 37K 
[   ]php81-pecl-rdkafka-6.0.5-r0.apk2024-11-04 23:52 37K 
[   ]emmylua-ls-doc-0.19.0-r0.apk2026-01-24 23:54 37K 
[   ]py3-redmine-2.5.0-r0.apk2024-10-26 06:10 37K 
[   ]kanidm-zsh-completion-1.8.5-r1.apk2026-01-12 05:22 37K 
[   ]spreadtrum_flash-1.20240815-r0.apk2025-05-08 23:22 37K 
[   ]libopensles-standalone-0_git20250913-r0.apk2025-09-23 00:30 37K 
[   ]php82-pecl-rdkafka-6.0.5-r0.apk2025-10-24 13:09 37K 
[   ]py3-qasync-0.19.0-r2.apk2024-10-26 06:10 37K 
[   ]py3-pygpgme-0.3.1-r10.apk2026-01-18 09:42 37K 
[   ]php81-pecl-xmlrpc-1.0.0_rc3-r2.apk2024-10-26 06:10 37K 
[   ]py3-enlighten-1.14.1-r0.apk2025-08-29 03:59 37K 
[   ]py3-astral-3.2-r3.apk2024-10-26 06:10 37K 
[   ]ubus-2025.10.17-r0.apk2025-10-25 23:56 37K 
[   ]kcbench-0.9.14-r0.apk2026-01-08 13:33 37K 
[   ]darkradiant-lang-3.9.0-r1.apk2026-01-10 07:12 37K 
[   ]capnet-assist-lang-8.0.0-r0.apk2025-04-14 21:10 37K 
[   ]perl-libapreq2-doc-2.17-r3.apk2025-06-30 18:25 37K 
[   ]zycore-dev-1.5.0-r1.apk2025-07-12 17:31 37K 
[   ]distroshelf-lang-1.3.0-r0.apk2025-12-28 02:42 37K 
[   ]gl2ps-1.4.2-r0.apk2025-10-13 08:32 37K 
[   ]rtptools-1.22-r2.apk2024-10-26 06:10 37K 
[   ]py3-dexml-pyc-0.5.1-r9.apk2024-10-26 06:10 37K 
[   ]perl-statistics-descriptive-doc-3.0801-r0.apk2024-10-26 06:10 38K 
[   ]pwntools-doc-4.15.0-r0.apk2026-01-01 01:55 38K 
[   ]py3-aiosmb-examples-0.4.14-r0.apk2025-11-03 17:49 38K 
[   ]csol-1.6.0-r0.apk2024-10-26 06:08 38K 
[   ]symbiyosys-0.36-r0.apk2024-10-26 06:10 38K 
[   ]py3-cstruct-pyc-6.1-r0.apk2025-08-22 10:06 38K 
[   ]tcl-curl-doc-7.22.0-r0.apk2024-10-26 06:10 38K 
[   ]py3-pure_protobuf-pyc-3.1.2-r0.apk2024-10-26 06:10 38K 
[   ]gcli-doc-2.9.1-r0.apk2025-11-10 03:39 38K 
[   ]ecos-2.0.10-r0.apk2024-10-26 06:09 38K 
[   ]perl-path-dispatcher-doc-1.08-r0.apk2025-06-09 23:05 38K 
[   ]py3-sh-2.1.0-r0.apk2024-11-02 02:13 38K 
[   ]libtcmu-1.6.0-r6.apk2024-10-26 06:09 38K 
[   ]ovos-gui-1.3.3-r0.apk2025-07-16 07:03 38K 
[   ]libzrtpcpp-dev-4.7.0-r0.apk2025-01-05 08:55 38K 
[   ]paprefs-lang-1.2-r2.apk2024-11-23 09:11 38K 
[   ]alttab-1.7.1-r0.apk2024-10-26 06:08 38K 
[   ]tree-sitter-make-1.1.1-r0.apk2026-01-04 07:54 38K 
[   ]turnstile-0.1.10-r3.apk2024-10-26 06:11 38K 
[   ]apache2-mod-perl-dev-2.0.13-r2.apk2025-06-30 18:25 38K 
[   ]php82-curl-8.2.30-r2.apk2026-01-14 15:08 38K 
[   ]spnavcfg-1.3-r0.apk2026-01-10 10:44 38K 
[   ]lyrics-in-terminal-1.7.0-r0.apk2025-01-03 21:09 38K 
[   ]sigrok-cli-0.7.2-r0.apk2024-10-26 06:10 38K 
[   ]appimagetool-1.9.1-r1.apk2026-01-28 19:30 38K 
[   ]wput-0.6.2-r4.apk2024-10-26 06:11 38K 
[   ]py3-python-iptables-1.2.0-r1.apk2025-12-13 02:43 38K 
[   ]ovos-gui-pyc-1.3.3-r0.apk2025-07-16 07:03 38K 
[   ]py3-fastdiff-0.3.0-r5.apk2024-10-26 06:10 38K 
[   ]linuxptp-doc-4.4-r0.apk2024-11-20 11:45 38K 
[   ]ecasound-doc-2.9.3-r4.apk2025-02-25 08:33 38K 
[   ]boost1.89-container-1.89.0-r1.apk2026-01-18 09:42 39K 
[   ]extundelete-0.2.4-r1.apk2024-10-26 06:09 39K 
[   ]libctl-dev-4.5.1-r1.apk2024-10-26 06:09 39K 
[   ]ghc-filesystem-1.5.14-r0.apk2024-10-26 06:09 39K 
[   ]py3-zope-configuration-7.0-r0.apk2025-12-02 11:39 39K 
[   ]nfoview-2.1-r0.apk2025-04-14 07:28 39K 
[   ]libbsoncxx-dev-3.8.0-r0.apk2024-10-26 06:09 39K 
[   ]php81-mysqli-8.1.34-r1.apk2026-01-14 15:08 39K 
[   ]valent-lang-1.0.0_alpha49-r0.apk2025-12-25 05:31 39K 
[   ]sblg-0.5.11-r0.apk2024-10-26 06:10 39K 
[   ]py3-recurring-ical-events-3.8.0-r0.apk2025-06-15 15:00 39K 
[   ]log4cpp-dev-1.1.4-r1.apk2024-10-26 06:09 39K 
[   ]sturmreader-lang-3.7.2-r2.apk2025-09-07 03:20 39K 
[   ]libserialport-dev-0.1.1-r1.apk2024-10-26 06:09 39K 
[   ]linkchecker-doc-10.6.0-r0.apk2025-08-10 22:38 39K 
[   ]theme.sh-1.1.5-r0.apk2024-10-26 06:11 39KUnix shell script
[   ]normaliz-3.10.4-r3.apk2025-11-26 19:24 39K 
[   ]py3-mopidy-spotify-pyc-5.0.0_alpha3-r0.apk2024-10-26 06:10 39K 
[   ]libsigrokdecode-dev-0.5.3-r4.apk2024-10-26 06:09 39K 
[   ]py3-pyte-pyc-0.8.2-r3.apk2025-05-14 09:00 39K 
[   ]prjtrellis-db-machxo-0_git20230929-r0.apk2024-10-26 06:10 39K 
[   ]crossplane-pyc-0.5.8-r3.apk2024-10-26 06:08 39K 
[   ]py3-rpio-0.10.1-r8.apk2024-10-26 06:10 39K 
[   ]py3-empy-3.3.4-r7.apk2024-10-26 06:10 39K 
[   ]optee-client-libs-3.20.0-r0.apk2024-10-26 06:10 39K 
[   ]phosh-tour-0.52.0-r0.apk2026-01-05 03:13 39K 
[   ]py3-manuel-1.13.0-r1.apk2025-10-15 02:04 39K 
[   ]66-tools-doc-0.1.2.0-r0.apk2025-06-02 02:50 39K 
[   ]perl-net-curl-doc-0.58-r0.apk2026-01-15 05:19 39K 
[   ]cocogitto-doc-6.5.0-r0.apk2025-11-03 05:21 40K 
[   ]repo-doc-2.60-r0.apk2025-12-13 06:49 40K 
[   ]materia-dark-compact-gtk3-20210322-r4.apk2026-01-20 15:56 40K 
[   ]materia-dark-gtk3-20210322-r4.apk2026-01-20 15:56 40K 
[   ]py3-compdb-pyc-0.2.0-r8.apk2024-10-26 06:10 40K 
[   ]php82-mysqli-8.2.30-r2.apk2026-01-14 15:08 40K 
[   ]wbg-1.3.0-r1.apk2025-11-02 02:31 40K 
[   ]supercollider-dev-3.14.1-r0.apk2026-01-10 03:02 40K 
[   ]py3-fpdf-1.7.2-r5.apk2024-10-26 06:10 40K 
[   ]py3-pytaglib-3.0.0-r0.apk2025-01-27 06:30 40K 
[   ]php81-pecl-immutable_cache-6.1.0-r0.apk2024-10-26 06:10 40K 
[   ]py3-irc-20.4.1-r1.apk2025-10-10 04:38 40K 
[   ]py3-feedgen-1.0.0-r1.apk2024-10-26 06:10 40K 
[   ]py3-bibtexparser-1.4.3-r0.apk2025-01-26 17:27 40K 
[   ]php82-pecl-immutable_cache-6.1.0-r0.apk2024-10-26 06:10 40K 
[   ]php81-pdo-8.1.34-r1.apk2026-01-14 15:08 40K 
[   ]perl-http-headers-actionpack-doc-0.09-r0.apk2025-06-14 05:17 40K 
[   ]py3-pyzor-1.1.2-r0.apk2025-08-14 17:26 40K 
[   ]py3-rich-click-pyc-1.7.3-r1.apk2024-10-26 06:10 40K 
[   ]avra-1.4.2-r0.apk2024-10-26 06:08 40K 
[   ]moosefs-metalogger-4.56.6-r2.apk2025-06-19 19:44 40K 
[   ]py3-pyvcd-pyc-0.4.1-r0.apk2024-11-12 08:46 40K 
[   ]peervpn-0.044-r5.apk2024-10-26 06:10 40K 
[   ]py3-flask-restless-0.17.0-r9.apk2024-10-26 06:10 40K 
[   ]php83-pecl-ev-1.2.3-r0.apk2026-01-28 06:26 41K 
[   ]adbd-0_git20251009-r1.apk2026-01-14 15:08 41K 
[   ]php84-pecl-ev-1.2.3-r0.apk2026-01-28 06:26 41K 
[   ]py3-ward-0.67.0_beta0-r2.apk2024-10-26 06:10 41K 
[   ]gummiboot-48.1-r11.apk2025-07-23 15:04 41K 
[   ]py3-unearth-0.18.0-r0.apk2025-10-15 01:54 41K 
[   ]imapfilter-2.8.2-r0.apk2024-10-26 06:09 41K 
[   ]py3-piper-tts-2023.11.14.2-r14.apk2025-07-13 03:01 41K 
[   ]openfortivpn-1.22.1-r0.apk2024-12-12 08:34 41K 
[   ]perl-prereqscanner-notquitelite-0.9917-r0.apk2025-07-13 00:43 41K 
[   ]py3-createrepo_c-1.1.4-r1.apk2025-12-31 07:57 41K 
[   ]alacritty-graphics-doc-0.16.1-r0.apk2025-12-18 11:02 41K 
[   ]py3-sqlmodel-pyc-0.0.22-r1.apk2024-12-07 10:03 41K 
[   ]sstp-client-1.0.20-r3.apk2025-10-24 13:29 41K 
[   ]ffmpeg4-libswresample-4.4.6-r0.apk2026-01-04 12:24 41K 
[   ]yices2-dev-2.6.5-r0.apk2025-03-03 10:04 41K 
[   ]lomiri-calculator-app-lang-4.1.0-r0.apk2025-08-20 07:08 41K 
[   ]py3-milc-pyc-1.9.1-r0.apk2025-01-26 17:27 42K 
[   ]capsudo-dbg-0.1.3-r0.apk2026-01-25 21:16 42K 
[   ]mimeo-pyc-2023-r2.apk2024-10-26 06:10 42K 
[   ]imediff-2.6-r1.apk2024-10-26 06:09 42K 
[   ]gupnp-av-dev-0.14.4-r1.apk2025-08-10 12:01 42K 
[   ]litehtml-dev-0.9-r2.apk2025-02-18 02:07 42K 
[   ]php83-pecl-phpy-1.0.11-r1.apk2025-05-14 09:00 42K 
[   ]php84-pecl-phpy-1.0.11-r1.apk2025-05-14 09:00 42K 
[   ]php82-pdo-8.2.30-r2.apk2026-01-14 15:08 42K 
[   ]azpainter-doc-3.0.11-r0.apk2025-02-23 03:07 42K 
[   ]hub-doc-2.14.2-r37.apk2026-01-18 09:42 42K 
[   ]php85-pecl-ev-1.2.3-r0.apk2026-01-28 06:26 42K 
[   ]libqofono-dev-0.124-r0.apk2025-01-11 03:19 42K 
[   ]perl-email-sender-doc-2.601-r0.apk2025-04-04 20:43 42K 
[   ]py3-pyparted-pyc-3.13.0-r1.apk2024-10-26 06:10 42K 
[   ]git-revise-pyc-0.7.0-r5.apk2024-10-26 06:09 42K 
[   ]py3-seqdiag-pyc-3.0.0-r5.apk2024-10-26 06:10 42K 
[   ]sflowtool-6.02-r0.apk2024-10-26 06:10 42K 
[   ]py3-openwisp-utils-pyc-1.0.4-r4.apk2024-10-26 06:10 42K 
[   ]pebble-le-dev-0.3.0-r2.apk2024-12-15 06:38 42K 
[   ]uxn-1.0-r0.apk2024-10-26 06:11 42K 
[   ]primesieve-12.10-r0.apk2025-11-26 06:07 42K 
[   ]py3-svglib-pyc-1.5.1-r0.apk2025-06-11 06:57 42K 
[   ]nuklear-doc-4.12.0-r0.apk2024-10-26 06:10 42K 
[   ]volumeicon-0.5.1-r1.apk2024-10-26 06:11 42K 
[   ]xfsdump-doc-3.2.0-r0.apk2025-08-31 07:48 42K 
[   ]commoncpp-tools-7.0.1-r1.apk2024-10-26 06:08 42K 
[   ]py3-colander-pyc-2.0-r2.apk2024-10-26 06:10 42K 
[   ]materia-compact-gtk4-20210322-r4.apk2026-01-20 15:56 43K 
[   ]liberasurecode-1.6.3-r1.apk2024-10-26 06:09 43K 
[   ]gl2ps-static-1.4.2-r0.apk2025-10-13 08:32 43K 
[   ]rygel-dev-45.1-r0.apk2026-01-06 02:35 43K 
[   ]py3-isbnlib-3.10.14-r0.apk2025-01-26 17:27 43K 
[   ]materia-gtk4-20210322-r4.apk2026-01-20 15:56 43K 
[   ]wine-staging-doc-11.1-r0.apk2026-01-26 05:39 43K 
[   ]perl-cgi-simple-doc-1.282-r0.apk2025-08-30 15:17 43K 
[   ]py3-sphinx-theme-cloud-pyc-1.10.0-r2.apk2024-10-26 06:10 43K 
[   ]flatseal-2.3.1-r0.apk2025-06-20 02:30 43K 
[   ]py3-bookkeeper-4.17.2-r0.apk2025-07-14 08:43 43K 
[   ]perl-extutils-xsbuilder-0.28-r5.apk2024-10-26 06:10 43K 
[   ]py3-cdio-pyc-2.1.1-r6.apk2025-01-26 17:27 43K 
[   ]boost1.89-thread-1.89.0-r1.apk2026-01-18 09:42 43K 
[   ]geoclue-stumbler-1.1-r0.apk2025-10-10 04:38 43K 
[   ]php81-pgsql-8.1.34-r1.apk2026-01-14 15:08 43K 
[   ]apulse-0.1.14-r0.apk2025-09-07 03:20 43K 
[   ]py3-coreapi-pyc-2.3.3-r9.apk2024-10-26 06:10 43K 
[   ]py3-pillow_heif-0.18.0-r0.apk2024-10-26 06:10 43K 
[   ]php82-pgsql-8.2.30-r2.apk2026-01-14 15:08 43K 
[   ]somebar-1.0.3-r0.apk2024-10-26 06:10 43K 
[   ]py3-spake2-pyc-0.9-r0.apk2024-10-26 06:10 44K 
[   ]sentinel-proxy-2.1.0-r1.apk2025-06-14 02:19 44K 
[   ]rhasspy-nlu-0.4.0-r3.apk2024-10-26 06:10 44K 
[   ]php82-pecl-memcache-8.2-r2.apk2025-10-24 13:09 44K 
[   ]imediff-pyc-2.6-r1.apk2024-10-26 06:09 44K 
[   ]py3-pysimplesoap-1.16.2-r7.apk2024-10-26 06:10 44K 
[   ]py3-sphinxcontrib-hydomain-pyc-0.1.0_git20230930-r1.apk2024-10-26 06:10 44K 
[   ]py3-dunamai-pyc-1.25.0-r0.apk2025-07-26 09:23 44K 
[   ]kodi-audioencoder-flac-20.2.0-r1.apk2024-10-26 06:09 44K 
[   ]py3-aiowinreg-pyc-0.0.13-r0.apk2025-11-03 17:49 44K 
[   ]libbsoncxx-3.8.0-r0.apk2024-10-26 06:09 44K 
[   ]capnet-assist-8.0.0-r0.apk2025-04-14 21:10 44K 
[   ]py3-shodan-1.31.0-r1.apk2024-10-26 06:10 44K 
[   ]sentinel-minipot-2.3.0-r1.apk2024-10-26 06:10 44K 
[   ]persistent-cache-cpp-1.0.9-r0.apk2025-09-08 12:00 44K 
[   ]s-postgray-0.8.3-r0.apk2024-10-26 06:10 44K 
[   ]ubase-20200605-r3.apk2024-10-26 06:11 44K 
[   ]kismet-nrf-51822-0.202509.1-r0.apk2025-10-10 04:38 44K 
[   ]wlr-sunclock-1.2.1-r0.apk2025-12-02 01:08 45K 
[   ]py3-mistletoe-1.4.0-r0.apk2025-03-26 22:51 45K 
[   ]php81-pecl-memcache-8.2-r1.apk2024-10-26 06:10 45K 
[   ]lomiri-url-dispatcher-0.1.4-r0.apk2025-05-10 04:27 45K 
[   ]pikchr-cmd-1.0.0-r0.apk2025-10-25 20:52 45K 
[   ]malcontent-doc-0.13.1-r0.apk2025-09-04 05:27 45K 
[   ]cartero-lang-0.2.2-r0.apk2025-10-13 10:57 45K 
[   ]py3-gtkspellcheck-5.0.3-r1.apk2025-08-10 12:01 45K 
[   ]dislocker-libs-0.7.3-r6.apk2025-07-23 15:04 45K 
[   ]py3-litex-hub-pythondata-cpu-minerva-2024.04-r0.apk2024-10-26 06:10 45K 
[   ]ocfs2-tools-dev-1.8.7-r4.apk2024-10-26 06:10 45K 
[   ]lomiri-libusermetrics-lang-1.4.0-r0.apk2025-12-09 22:10 45K 
[   ]ettercap-doc-0.8.3.1-r3.apk2024-10-26 06:09 45K 
[   ]py3-zipfile2-0.0.12-r0.apk2024-10-26 06:10 45K 
[   ]calibre-zsh-completion-8.16.2-r0.apk2026-01-29 10:43 45K 
[   ]py3-zope-schema-7.0.1-r3.apk2024-10-26 06:10 45K 
[   ]py3-pycosat-0.6.6-r2.apk2024-10-26 06:10 45K 
[   ]py3-pyautogui-pyc-0.9.53-r5.apk2024-10-26 06:10 45K 
[   ]bitlbee-mastodon-1.4.5-r0.apk2024-10-26 06:08 45K 
[   ]libreoffice-voikko-5.0_git20200127-r0.apk2024-10-26 06:09 45K 
[   ]endeavour-dev-43.0-r2.apk2024-12-09 08:43 46K 
[   ]glfw-wayland-dev-3.3.8-r3.apk2024-10-26 06:09 46K 
[   ]ovos-skill-hello-world-0.0.4_alpha3-r1.apk2024-10-26 06:10 46K 
[   ]opentelemetry-cpp-exporter-otlp-common-1.24.0-r0.apk2025-11-23 00:32 46K 
[   ]dublin-traceroute-0.4.2-r4.apk2024-10-26 06:09 46K 
[   ]php81-pecl-memcached-3.4.0-r0.apk2025-10-13 19:36 46K 
[   ]kismet-nxp-kw41z-0.202509.1-r0.apk2025-10-10 04:38 46K 
[   ]dooit-3.3.3-r0.apk2025-10-27 20:05 46K 
[   ]py3-ovos-backend-client-1.0.0-r0.apk2024-10-26 06:10 46K 
[   ]py3-mopidy-mpd-3.3.0-r4.apk2024-10-26 06:10 46K 
[   ]php82-pecl-memcached-3.4.0-r0.apk2025-10-24 13:09 46K 
[   ]perl-tree-simple-visitorfactory-doc-0.16-r0.apk2025-03-26 22:51 46K 
[   ]kismet-linux-bluetooth-0.202509.1-r0.apk2025-10-10 04:38 46K 
[   ]fusesoc-2.3-r0.apk2024-10-26 06:09 46K 
[   ]py3-enlighten-pyc-1.14.1-r0.apk2025-08-29 03:59 46K 
[   ]dmarc-metrics-exporter-pyc-1.2.0-r0.apk2024-11-30 09:02 46K 
[   ]spread-sheet-widget-0.10-r0.apk2024-10-26 06:10 46K 
[   ]goomwwm-1.0.0-r5.apk2024-10-26 06:09 46K 
[   ]identme-0.6.0-r0.apk2025-04-03 23:33 47K 
[   ]pasystray-0.8.2-r0.apk2024-10-26 06:10 47K 
[   ]cpufetch-1.07-r0.apk2025-11-01 21:01 47K 
[   ]gtkhash-lang-1.5-r0.apk2024-10-26 06:09 47K 
[   ]acmetool-doc-0.2.2-r19.apk2026-01-18 09:42 47K 
[   ]postgresql-pg_variables-bitcode-1.2.5_git20230922-r1.apk2025-11-04 03:17 47K 
[   ]gssdp-1.6.4-r1.apk2025-08-10 12:01 47K 
[   ]py3-gls-1.3.1-r1.apk2024-10-26 06:10 47K 
[   ]py3-distorm3-3.5.2-r6.apk2024-10-26 06:10 47K 
[   ]py3-ovos-ocp-files-plugin-0.13.1-r0.apk2024-10-26 06:10 47K 
[   ]py3-flask-limiter-pyc-3.10.1-r0.apk2025-01-26 17:27 47K 
[   ]py3-rosdistro-0.9.0-r3.apk2024-10-26 06:10 47K 
[   ]py3-yapsy-pyc-1.12.2-r7.apk2024-10-26 06:10 47K 
[   ]clinfo-3.0.23.01.25-r0.apk2024-10-26 06:08 47K 
[   ]py3-markdown2-2.5.0-r0.apk2024-10-26 06:10 47K 
[   ]py3-ovos-config-2.1.1-r0.apk2025-07-16 05:37 47K 
[   ]py3-pyqrcode-pyc-1.2.1-r0.apk2024-10-26 06:10 47K 
[   ]qadwaitadecorations-0.1.7-r2.apk2026-01-18 09:42 47K 
[   ]solanum-lang-6.0.0-r0.apk2025-04-11 00:04 47K 
[   ]elementary-feedback-8.1.0-r0.apk2025-11-23 02:38 47K 
[   ]polyglot-doc-2.0.4-r2.apk2026-01-24 06:17 47K 
[   ]lomiri-content-hub-lang-2.2.2-r0.apk2026-01-26 08:15 47K 
[   ]elementary-music-lang-8.0.0-r0.apk2024-10-29 09:05 47K 
[   ]py3-cookiecutter-pyc-2.6.0-r1.apk2024-10-26 06:10 47K 
[   ]py3-marshmallow-3.26.1-r0.apk2025-02-23 02:37 48K 
[   ]soapy-bladerf-0.4.2-r0.apk2024-12-31 12:11 48K 
[   ]startup-doc-2.0.3-r5.apk2024-10-26 06:10 48K 
[   ]gpscorrelate-2.3-r0.apk2025-03-27 17:36 48K 
[   ]otf-atkinson-hyperlegible-doc-2020.0514-r1.apk2024-10-26 06:10 48K 
[   ]perl-dbix-class-helpers-2.037000-r0.apk2024-11-20 11:45 48K 
[   ]bazel7-bash-completion-7.7.1-r0.apk2025-11-23 05:43 48K 
[   ]watchdog-5.16-r2.apk2024-10-26 06:11 48K 
[   ]cvs-fast-export-1.65-r0.apk2024-10-26 06:08 48K 
[   ]py3-osmocom-pyc-0.0.11-r0.apk2026-01-29 12:28 48K 
[   ]ffmpeg4-libavdevice-4.4.6-r0.apk2026-01-04 12:24 48K 
[   ]createrepo_c-1.1.4-r1.apk2025-12-31 07:57 48K 
[   ]openwsman-2.8.1-r1.apk2025-06-30 18:25 48K 
[   ]levmar-dev-2.6-r0.apk2024-10-26 06:09 48K 
[   ]i2util-dev-4.2.1-r1.apk2024-10-26 06:09 48K 
[   ]ropgadget-pyc-7.7-r0.apk2026-01-01 01:55 48K 
[   ]elementary-feedback-lang-8.1.0-r0.apk2025-11-23 02:38 48K 
[   ]py3-modbus-tk-pyc-1.1.1-r4.apk2024-10-26 06:10 48K 
[   ]ace-of-penguins-doc-1.4-r3.apk2024-10-26 06:08 49K 
[   ]py3-sssd-pyc-2.11.1-r2.apk2025-11-21 08:27 49K 
[   ]py3-distorm3-pyc-3.5.2-r6.apk2024-10-26 06:10 49K 
[   ]urlwatch-2.28-r2.apk2024-10-26 06:11 49K 
[   ]py3-engineio-4.12.3-r0.apk2025-11-27 20:35 49K 
[   ]py3-bibtexparser-pyc-1.4.3-r0.apk2025-01-26 17:27 49K 
[   ]dfl-ipc-0.3.0-r0.apk2025-08-21 18:47 49K 
[   ]ovos-core-2.1.0-r0.apk2025-11-03 03:03 49K 
[   ]py3-zope-configuration-pyc-7.0-r0.apk2025-12-02 11:39 49K 
[   ]femto-doc-2.24.1-r0.apk2025-09-07 03:20 49K 
[   ]aravis-0.8.31-r0.apk2024-10-26 06:08 49K 
[   ]py3-osqp-dev-0.6.2-r6.apk2024-10-26 06:10 49K 
[   ]perl-prereqscanner-notquitelite-doc-0.9917-r0.apk2025-07-13 00:43 49K 
[   ]metadata-cleaner-2.5.6-r0.apk2025-02-02 03:09 49K 
[   ]php82-pecl-event-3.1.4-r0.apk2025-10-24 13:09 49K 
[   ]libshadowsocks-libev-3.3.5-r4.apk2024-10-26 06:09 49K 
[   ]lavacli-2.4-r0.apk2025-06-15 15:00 49K 
[   ]libantlr3c-3.4-r3.apk2024-10-26 06:09 49K 
[   ]py3-spotipy-pyc-2.24.0-r3.apk2025-10-15 02:04 49K 
[   ]py3-radon-pyc-6.0.1-r2.apk2024-10-26 06:10 50K 
[   ]py3-liblarch-pyc-3.2.0-r6.apk2024-12-09 08:43 50K 
[   ]swaks-doc-20240103.0-r0.apk2024-10-26 06:10 50K 
[   ]jedi-language-server-pyc-0.45.1-r0.apk2025-05-04 07:03 50K 
[   ]perl-statistics-basic-doc-1.6611-r0.apk2024-10-26 06:10 50K 
[   ]cava-0.10.7-r0.apk2026-01-14 15:08 50K 
[   ]py3-recurring-ical-events-pyc-3.8.0-r0.apk2025-06-15 15:00 50K 
[   ]py3-ovos-bus-client-1.3.4-r0.apk2025-05-04 07:03 50K 
[   ]perl-data-dump-streamer-2.42-r1.apk2025-06-30 18:25 50K 
[   ]pixiewps-1.4.2-r2.apk2025-05-14 09:00 50K 
[   ]py3-requests-cache-1.2.1-r2.apk2026-01-18 20:00 50K 
[   ]py3-tidalapi-0.8.4-r0.apk2025-07-13 03:01 50K 
[   ]ytmdl-2024.08.15.1-r1.apk2025-05-15 05:17 50K 
[   ]lynis-doc-3.1.4-r0.apk2025-07-29 19:08 50K 
[   ]cobang-2.3.1-r0.apk2026-01-08 13:33 50K 
[   ]gupnp-dev-1.6.9-r1.apk2025-08-10 12:01 50K 
[   ]milkytracker-doc-1.04.00-r2.apk2024-10-26 06:10 50K 
[   ]perl-software-license-doc-0.104007-r0.apk2025-07-09 03:53 50K 
[   ]castero-0.9.5-r4.apk2025-05-15 05:17 50K 
[   ]perl-devel-nytprof-doc-6.14-r1.apk2025-06-30 18:25 51K 
[   ]jalv-1.6.8-r1.apk2024-10-26 06:09 51K 
[   ]py3-unicorn-2.1.4-r0.apk2025-10-15 01:46 51K 
[   ]py3-mss-10.0.0-r0.apk2024-11-15 00:09 51K 
[   ]py3-lunr-pyc-0.6.2-r4.apk2024-10-26 06:10 51K 
[   ]php83-pecl-uv-0.3.0-r0.apk2024-10-26 06:10 51K 
[   ]php84-pecl-uv-0.3.0-r0.apk2024-10-28 23:47 51K 
[   ]py3-lxmf-0.8.0-r0.apk2025-09-24 08:13 51K 
[   ]sopwith-2.5.0-r0.apk2024-10-26 06:10 51K 
[   ]postgresql-pgq-bitcode-3.5.1-r0.apk2026-01-29 04:46 51K 
[   ]py3-aiohttp-debugtoolbar-pyc-0.6.1-r2.apk2024-10-26 06:10 51K 
[   ]waynergy-0.0.17-r1.apk2025-09-13 12:00 51K 
[   ]lcalc-dev-2.1.0-r0.apk2025-05-04 07:03 51K 
[   ]gkrellm-server-2.3.11-r0.apk2025-01-09 09:36 51K 
[   ]opentelemetry-cpp-exporter-zipkin-1.24.0-r0.apk2025-11-23 00:32 52K 
[   ]py3-tasklib-pyc-2.5.1-r2.apk2024-10-26 06:10 52K 
[   ]py3-zimscraperlib-3.4.0-r0.apk2024-11-06 20:41 52K 
[   ]php81-pecl-event-3.1.4-r0.apk2024-10-26 06:10 52K 
[   ]libstirshaken-0_git20240208-r4.apk2025-05-04 07:03 52K 
[   ]py3-pymaging-png-pyc-0.0.20130727-r10.apk2024-10-26 06:10 52K 
[   ]megatools-doc-1.11.5.20250706-r0.apk2025-07-31 01:58 52K 
[   ]lomiri-ui-extras-lang-0.8.0-r0.apk2025-12-09 22:10 52K 
[   ]libupstart-2.0.3-r5.apk2024-10-26 06:09 52K 
[   ]py3-pypubsub-4.0.3-r0.apk2024-10-26 06:10 52K 
[   ]epoch-1.3.0-r3.apk2026-01-28 14:11 52K 
[   ]py3-dogpile.cache-1.3.3-r1.apk2025-05-15 05:17 53K 
[   ]tremc-0.9.4-r0.apk2025-07-16 19:48 53K 
[   ]pure-data-dev-0.55.2-r0.apk2025-06-30 18:25 53K 
[   ]pimd-dense-2.1.0-r0.apk2024-10-26 06:10 53K 
[   ]ccrtp-dev-2.1.2-r0.apk2024-10-26 06:08 53K 
[   ]gingerbase-lang-2.3.0-r7.apk2024-10-26 06:09 53K 
[   ]py3-pyatem-0.5.0-r4.apk2024-10-26 06:10 53K 
[   ]libmpfi-static-1.5.4-r2.apk2024-10-26 06:09 53K 
[   ]libiio-0.25-r2.apk2024-10-26 06:09 53K 
[   ]timew-doc-1.4.3-r1.apk2024-10-26 06:11 53K 
[   ]khronos-4.0.1-r0.apk2024-10-26 06:09 53K 
[   ]kodaskanna-0.2.2-r0.apk2025-01-26 17:27 53K 
[   ]perl-alien-base-modulebuild-doc-1.17-r0.apk2025-06-09 03:02 53K 
[   ]xfce4-panel-profiles-lang-1.1.1-r1.apk2025-11-24 23:53 53K 
[   ]py3-c3d-pyc-0.6.0-r1.apk2026-01-15 05:57 54K 
[   ]cproc-0_git20240427-r1.apk2024-11-04 08:51 54K 
[   ]py3-redmine-pyc-2.5.0-r0.apk2024-10-26 06:10 54K 
[   ]php81-pecl-amqp-2.2.0-r0.apk2026-01-03 14:41 54K 
[   ]mcjoin-doc-2.11-r0.apk2024-10-26 06:10 54K 
[   ]freediameter-dev-1.5.0-r1.apk2024-10-26 06:09 54K 
[   ]autoremove-torrents-pyc-1.5.5-r0.apk2024-10-26 06:08 54K 
[   ]sblim-sfcc-2.2.8-r3.apk2024-10-26 06:10 54K 
[   ]kodi-game-libretro-beetle-pcfx-0.9.36.36-r0.apk2024-10-26 06:09 54K 
[   ]qspectrumanalyzer-2.2.0-r5.apk2024-10-26 06:10 54K 
[   ]shine-3.1.1-r0.apk2024-10-26 06:10 54K 
[   ]belle-sip-dev-5.3.105-r0.apk2025-02-25 23:52 54K 
[   ]php82-pecl-amqp-2.2.0-r0.apk2026-01-03 14:41 54K 
[   ]libucl-0.9.0-r0.apk2024-10-26 06:09 54K 
[   ]py3-pyzor-pyc-1.1.2-r0.apk2025-08-14 17:26 54K 
[   ]perl-catalyst-devel-1.42-r0.apk2025-03-26 22:51 54K 
[   ]py3-joserfc-1.5.0-r0.apk2025-12-05 05:50 54K 
[   ]py3-rospkg-pyc-1.2.9-r5.apk2024-10-26 06:10 54K 
[   ]metalang99-1.13.3-r0.apk2024-10-26 06:10 54K 
[   ]varnish-modules-0.26.0-r0.apk2025-07-07 05:24 54K 
[   ]limkd-0.1.2-r0.apk2024-10-26 06:09 54K 
[   ]mat2-pyc-0.13.5-r0.apk2025-09-17 06:00 54K 
[   ]fabric-3.2.2-r1.apk2024-10-26 06:09 55K 
[   ]nvim-cmp-0.0.0_git20221011-r1.apk2024-10-26 06:10 55K 
[   ]py3-sh-pyc-2.1.0-r0.apk2024-11-02 02:13 55K 
[   ]py3-trivup-pyc-0.12.2-r2.apk2024-10-26 06:10 55K 
[   ]mint-y-theme-metacity-2.3.7-r1.apk2026-01-25 01:18 55K 
[   ]postgresql-hll-bitcode-2.18-r0.apk2024-10-26 06:10 55K 
[   ]kodi-vfs-sftp-20.2.0-r1.apk2024-10-26 06:09 55K 
[   ]bionic_translation-0_git20251125-r0.apk2026-01-08 23:08 55K 
[   ]recoll-dev-1.43.9-r0.apk2025-12-28 19:01 55K 
[   ]terminalpp-ropen-0.8.4-r0.apk2024-10-26 06:10 55K 
[   ]backup-manager-0.7.15-r1.apk2024-10-26 06:08 55K 
[   ]gdcm-doc-3.2.2-r3.apk2025-12-02 16:55 55K 
[   ]s-dkim-sign-0.6.2-r0.apk2024-10-26 06:10 55K 
[   ]perl-cgi-simple-1.282-r0.apk2025-08-30 15:17 56K 
[   ]openwsman-dev-2.8.1-r1.apk2025-06-30 18:25 56K 
[   ]libvdpau-va-gl-0.4.2-r0.apk2024-10-26 06:09 56K 
[   ]perl-catalyst-plugin-authentication-doc-0.10024-r0.apk2025-04-03 04:03 56K 
[   ]php81-pecl-apcu-5.1.28-r0.apk2025-12-08 04:21 56K 
[   ]py3-pyspinel-1.0.3-r1.apk2024-10-26 06:10 56K 
[   ]onioncat-4.11.0-r1.apk2026-01-10 03:41 56K 
[   ]php82-pecl-apcu-5.1.28-r0.apk2025-12-08 04:21 56K 
[   ]py3-qpageview-doc-0.6.2-r1.apk2024-10-26 06:10 56K 
[   ]py3-scour-0.38.2-r1.apk2024-10-26 06:10 56K 
[   ]py3-dnslib-0.9.26-r0.apk2025-09-02 05:15 56K 
[   ]clevis-21-r0.apk2025-01-26 17:27 57K 
[   ]scenefx-0.4.1-r0.apk2025-12-21 10:13 57K 
[   ]ovos-dinkum-listener-pyc-0.4.1-r0.apk2025-07-16 07:03 57K 
[   ]liquibase-doc-4.9.1-r0.apk2024-10-26 06:09 57K 
[   ]git-extras-7.4.0-r0.apk2025-07-23 15:04 57K 
[   ]portsmf-239-r2.apk2025-10-14 07:02 57K 
[   ]py3-catkin-pkg-0.5.2-r4.apk2024-10-26 06:10 57K 
[   ]perl-libapreq2-dev-2.17-r3.apk2025-06-30 18:25 57K 
[   ]tinygltf-dev-2.9.7-r0.apk2025-11-03 07:50 57K 
[   ]tcmalloc-minimal-2.17-r0.apk2025-08-06 00:00 57K 
[   ]kabmat-2.7.0-r0.apk2024-10-26 06:09 57K 
[   ]apt-dater-1.0.4-r4.apk2024-10-26 06:08 57K 
[   ]php81-pecl-zephir_parser-1.8.0-r0.apk2025-09-29 23:34 57K 
[   ]php82-pecl-zephir_parser-1.8.0-r0.apk2025-09-29 23:34 57K 
[   ]drupal7-doc-7.103-r0.apk2024-12-05 04:28 57K 
[   ]xfce4-panel-profiles-1.1.1-r1.apk2025-11-24 23:53 58K 
[   ]kfc-0.1.4-r0.apk2024-10-26 06:09 58K 
[   ]vcstool-pyc-0.3.0-r5.apk2024-10-26 06:11 58K 
[   ]php81-pecl-ds-1.6.0-r0.apk2025-05-08 00:16 58K 
[   ]xone-src-0.5.2-r0.apk2026-01-20 02:49 58K 
[   ]py3-litex-hub-pythondata-misc-tapcfg-2024.04-r0.apk2024-10-26 06:10 58K 
[   ]libfishsound-dev-1.0.0-r1.apk2024-10-26 06:09 58K 
[   ]libvalkey-0.2.1-r0.apk2025-11-17 22:56 58K 
[   ]quickemu-4.9.8-r0.apk2026-01-25 22:42 58K 
[   ]flightgear-doc-2024.1.1-r0.apk2025-03-05 11:16 58K 
[   ]font-tiresias-doc-0_git20200704-r0.apk2024-10-26 06:09 58K 
[   ]bitlbee-facebook-1.2.2-r0.apk2024-10-26 06:08 58K 
[   ]ustr-1.0.4-r1.apk2024-10-26 06:11 58K 
[   ]php82-pecl-ds-1.6.0-r0.apk2025-10-24 13:09 58K 
[   ]perl-json-validator-5.15-r0.apk2025-03-26 22:51 58K 
[   ]sbase-doc-0_git20210730-r3.apk2024-10-26 06:10 58K 
[   ]ovos-core-pyc-2.1.0-r0.apk2025-11-03 03:03 58K 
[   ]tinyscheme-1.42-r1.apk2024-10-26 06:11 58K 
[   ]libantlr3c-dev-3.4-r3.apk2024-10-26 06:09 58K 
[   ]perl-net-async-redis-6.006-r0.apk2024-12-12 03:22 58K 
[   ]py3-empy-pyc-3.3.4-r7.apk2024-10-26 06:10 58K 
[   ]sloccount-2.26-r3.apk2024-10-26 06:10 59K 
[   ]py3-unicrypto-0.0.12-r0.apk2025-11-03 17:49 59K 
[   ]anari-sdk-dev-0.7.2-r0.apk2024-10-26 06:08 59K 
[   ]mrsh-libs-0_git20210518-r1.apk2024-10-26 06:10 59K 
[   ]php81-dom-8.1.34-r1.apk2026-01-14 15:08 59K 
[   ]wiringx-0_git20240317-r2.apk2025-03-04 03:40 59K 
[   ]xfce4-mixer-lang-4.18.1-r2.apk2024-10-26 06:11 59K 
[   ]pympress-lang-1.8.6-r0.apk2025-11-10 16:33 59K 
[   ]py3-sphinx-autoapi-pyc-3.6.1-r0.apk2025-10-11 21:59 59K 
[   ]py3-astral-pyc-3.2-r3.apk2024-10-26 06:10 59K 
[   ]decoder-lang-0.7.0-r0.apk2025-04-11 00:26 59K 
[   ]plplot-dev-5.15.0-r2.apk2024-10-26 06:10 59K 
[   ]ttfautohint-gui-1.8.4-r0.apk2024-10-26 06:11 59K 
[   ]shntool-3.0.10-r6.apk2026-01-18 09:42 59K 
[   ]py3-flask-restless-pyc-0.17.0-r9.apk2024-10-26 06:10 59K 
[   ]libiscsi-1.19.0-r2.apk2024-10-26 06:09 59K 
[   ]sloccount-doc-2.26-r3.apk2024-10-26 06:10 59K 
[   ]elementary-calculator-lang-8.0.1-r0.apk2025-09-02 13:29 59K 
[   ]notification-daemon-3.20.0-r1.apk2025-07-02 12:23 60K 
[   ]psftools-doc-1.1.2-r0.apk2024-10-26 06:10 60K 
[   ]fdm-materials-5.2.2-r1.apk2024-10-26 06:09 60K 
[   ]cvise-pyc-2.11.0-r0.apk2025-03-11 14:55 60K 
[   ]php82-dom-8.2.30-r2.apk2026-01-14 15:08 60K 
[   ]py3-mapbox-earcut-1.0.1-r2.apk2024-10-26 06:10 60K 
[   ]dfl-sni-0.3.0-r0.apk2025-08-21 18:47 60K 
[   ]perl-devel-repl-doc-1.003029-r0.apk2025-05-04 07:03 60K 
[   ]fabric-pyc-3.2.2-r1.apk2024-10-26 06:09 60K 
[   ]openscap-daemon-0.1.10-r9.apk2024-10-26 06:10 60K 
[   ]libsirocco-2.1.1-r0.apk2025-09-01 04:16 60K 
[   ]serialdv-libs-1.1.5-r0.apk2025-10-25 07:29 60K 
[   ]py3-aiodocker-pyc-0.21.0-r1.apk2024-10-26 06:10 60K 
[   ]apache-mod-auth-gssapi-1.6.5-r1.apk2024-10-26 06:08 60K 
[   ]rtl-power-fftw-20200601-r4.apk2024-10-26 06:10 60K 
[   ]mnamer-pyc-2.5.5-r1.apk2024-10-26 06:10 60K 
[   ]mpdcron-dev-0.3-r1.apk2024-10-26 06:10 61K 
[   ]gmcapsule-pyc-0.9.8-r0.apk2025-10-10 04:38 61K 
[   ]zydis-dev-4.1.0-r0.apk2024-10-26 06:11 61K 
[   ]py3-zope-schema-pyc-7.0.1-r3.apk2024-10-26 06:10 61K 
[   ]py3-nikola-doc-8.3.3-r0.apk2025-10-11 21:49 61K 
[   ]guish-doc-2.6.11-r0.apk2024-12-24 21:42 61K 
[   ]perl-net-curl-0.58-r0.apk2026-01-15 05:19 61K 
[   ]gingerbase-pyc-2.3.0-r7.apk2024-10-26 06:09 61K 
[   ]formiko-pyc-1.5.0-r1.apk2026-01-08 13:33 61K 
[   ]py3-knowit-pyc-0.5.11-r0.apk2025-11-24 11:46 62K 
[   ]dcnnt-pyc-0.10.0-r1.apk2024-10-26 06:08 62K 
[   ]py3-feedgen-pyc-1.0.0-r1.apk2024-10-26 06:10 62K 
[   ]py3-sssd-2.11.1-r2.apk2025-11-21 08:27 62K 
[   ]font-tamzen-1.11.5-r1.apk2024-10-26 06:09 62K 
[   ]boost1.89-wserialization-1.89.0-r1.apk2026-01-18 09:42 62K 
[   ]sthttpd-2.27.1-r2.apk2024-10-26 06:10 62K 
[   ]py3-socketio-5.13.0-r0.apk2025-09-07 03:20 62K 
[   ]sshuttle-1.1.2-r0.apk2024-10-26 06:10 62K 
[   ]qspectrumanalyzer-pyc-2.2.0-r5.apk2024-10-26 06:10 62K 
[   ]lima-doc-1.2.1-r2.apk2026-01-18 09:42 62K 
[   ]py3-colander-2.0-r2.apk2024-10-26 06:10 62K 
[   ]htmlcxx-0.87-r1.apk2024-10-26 06:09 63K 
[   ]evolution-etesync-1.1.2-r0.apk2026-01-12 04:59 63K 
[   ]libxo-doc-1.7.5-r0.apk2025-01-13 09:45 63K 
[   ]materia-compact-gtk3-20210322-r4.apk2026-01-20 15:56 63K 
[   ]libofx-0.10.9-r1.apk2024-10-26 06:09 63K 
[   ]materia-gtk3-20210322-r4.apk2026-01-20 15:56 63K 
[   ]py3-pyspinel-pyc-1.0.3-r1.apk2024-10-26 06:10 63K 
[   ]megatools-1.11.5.20250706-r0.apk2025-07-31 01:58 63K 
[   ]pebble-le-0.3.0-r2.apk2024-12-15 06:38 64K 
[   ]py3-minidump-0.0.24-r1.apk2025-05-29 23:00 64K 
[   ]kodi-game-libretro-desmume-0.0.1.28-r0.apk2024-10-26 06:09 64K 
[   ]lomiri-terminal-app-2.0.5-r0.apk2025-05-10 04:27 64K 
[   ]masky-pyc-0.2.0-r2.apk2025-05-29 23:00 64K 
[   ]tree-sitter-gleam-1.1.0-r0.apk2025-10-10 04:38 64K 
[   ]ueberzug-pyc-18.3.1-r0.apk2025-02-23 03:07 64K 
[   ]polyglot-2.0.4-r2.apk2026-01-24 06:17 64K 
[   ]pqiv-2.12-r1.apk2024-10-26 06:10 64K 
[   ]kodi-game-libretro-beetle-psx-0.9.44.53-r0.apk2024-10-26 06:09 64K 
[   ]gradia-lang-1.11.1-r0.apk2026-01-08 13:33 64K 
[   ]wayfarer-1.4.0-r0.apk2025-11-06 05:52 64K 
[   ]femto-2.24.1-r0.apk2025-09-07 03:20 64K 
[   ]libxml++-5.4.0-r0.apk2025-02-13 18:45 64K 
[   ]clevis-dbg-21-r0.apk2025-01-26 17:27 64K 
[   ]kismet-linux-wifi-0.202509.1-r0.apk2025-10-10 04:38 64K 
[   ]pulsar-client-cpp-dev-3.7.1-r0.apk2025-06-13 02:22 64K 
[   ]gufw-pyc-24.04-r3.apk2024-11-20 11:45 65K 
[   ]charls-2.4.2-r0.apk2024-10-26 06:08 65K 
[   ]py3-sphinx-theme-bw-0.1.8-r7.apk2024-10-26 06:10 65K 
[   ]linux-gpib-4.3.7-r0.apk2025-12-07 10:07 65K 
[   ]ansible-bender-pyc-0.10.1-r2.apk2024-10-26 06:08 65K 
[   ]git-extras-doc-7.4.0-r0.apk2025-07-23 15:04 65K 
[   ]boost1.89-filesystem-1.89.0-r1.apk2026-01-18 09:42 65K 
[   ]ueberzug-18.3.1-r0.apk2025-02-23 03:07 65K 
[   ]nvtop-3.2.0-r0.apk2025-05-04 07:03 65K 
[   ]bazel8-bash-completion-8.4.2-r0.apk2025-10-10 04:37 65K 
[   ]ansifilter-2.22-r0.apk2026-01-20 04:09 65K 
[   ]jbigkit-2.1-r2.apk2024-10-26 06:09 66K 
[   ]opentelemetry-cpp-exporter-otlp-grpc-1.24.0-r0.apk2025-11-23 00:32 66K 
[   ]perl-net-async-redis-doc-6.006-r0.apk2024-12-12 03:22 66K 
[   ]metadata-cleaner-lang-2.5.6-r0.apk2025-02-02 03:09 66K 
[   ]glfw-wayland-3.3.8-r3.apk2024-10-26 06:09 66K 
[   ]clipit-1.4.5-r3.apk2024-10-26 06:08 66K 
[   ]swaks-20240103.0-r0.apk2024-10-26 06:10 66K 
[   ]rosdep-0.19.0-r6.apk2024-10-26 06:10 66K 
[   ]perl-snmp-5.0404-r14.apk2025-06-30 18:25 66K 
[   ]avarice-2.14-r4.apk2024-10-26 06:08 67K 
[   ]zutty-doc-0.16-r0.apk2025-01-13 08:00 67K 
[   ]py3-isbnlib-pyc-3.10.14-r0.apk2025-01-26 17:27 67K 
[   ]py3-bookkeeper-pyc-4.17.2-r0.apk2025-07-14 08:43 67K 
[   ]arc-cinnamon-20221218-r1.apk2026-01-22 05:07 67K 
[   ]horizon-image-0.9.6-r9.apk2024-10-26 06:09 67K 
[   ]aravis-viewer-0.8.31-r0.apk2024-10-26 06:08 67K 
[   ]php81-openssl-8.1.34-r1.apk2026-01-14 15:08 67K 
[   ]arc-dark-cinnamon-20221218-r1.apk2026-01-22 05:07 67K 
[   ]policycoreutils-3.6-r1.apk2024-10-26 06:10 67K 
[   ]heisenbridge-1.15.4-r0.apk2025-10-10 04:38 67K 
[   ]twemproxy-0.5.0-r0.apk2024-10-26 06:11 67K 
[   ]py3-pysubs2-pyc-1.8.0-r0.apk2024-12-26 09:09 68K 
[   ]libqtdbusmock-0.9.1-r2.apk2025-02-18 02:07 68K 
[   ]dfl-applications-0.3.0-r0.apk2025-08-21 18:47 68K 
[   ]py3-python-iptables-pyc-1.2.0-r1.apk2025-12-13 02:43 68K 
[   ]py3-blockdiag-3.0.0-r6.apk2025-01-11 03:19 68K 
[   ]endeavour-doc-43.0-r2.apk2024-12-09 08:43 68K 
[   ]py3-pystache-0.6.5-r1.apk2024-10-26 06:10 68K 
[   ]cloud-hypervisor-doc-48.0-r0.apk2025-10-28 18:22 68K 
[   ]idesk-1-r1.apk2024-10-26 06:09 68K 
[   ]postgresql16-wal2json-2.6-r0.apk2024-10-26 06:10 68K 
[   ]py3-ncclient-0.6.13-r5.apk2024-10-26 06:10 68K 
[   ]xsoldier-1.8-r2.apk2024-10-26 06:11 68K 
[   ]fildesh-0.2.0-r0.apk2024-10-26 06:09 68K 
[   ]php81-doc-8.1.34-r1.apk2026-01-14 15:08 68K 
[   ]py3-zimscraperlib-pyc-3.4.0-r0.apk2024-11-06 20:41 68K 
[   ]hping3-20051105-r4.apk2024-10-26 06:09 68K 
[   ]fuzzylite-dev-6.0-r2.apk2025-02-02 03:09 68K 
[   ]piper-phonemize-libs-2023.11.14.4-r9.apk2025-07-13 03:01 68K 
[   ]php82-openssl-8.2.30-r2.apk2026-01-14 15:08 69K 
[   ]gupnp-dlna-0.12.0-r1.apk2025-08-10 12:01 69K 
[   ]atlantik-lang-3.5.10_git20240323-r0.apk2024-10-26 06:08 69K 
[   ]66-tools-0.1.2.0-r0.apk2025-06-02 02:50 69K 
[   ]log4cpp-1.1.4-r1.apk2024-10-26 06:09 69K 
[   ]nymphcast-mediaserver-0.1-r4.apk2025-08-29 08:08 69K 
[   ]ocfs2-tools-doc-1.8.7-r4.apk2024-10-26 06:10 69K 
[   ]debconf-1.5.82-r0.apk2024-10-26 06:08 69K 
[   ]py3-lsprotocol-2023.0.1-r1.apk2024-10-26 06:10 69K 
[   ]libneo4j-client-2.2.0-r3.apk2024-10-26 06:09 70K 
[   ]hfst-doc-3.16.2-r1.apk2026-01-14 15:08 70K 
[   ]libnest2d-dev-0.4-r7.apk2025-02-06 15:44 70K 
[   ]tpm2-pkcs11-pyc-1.9.2-r0.apk2025-12-14 03:10 70K 
[   ]py3-hg-git-1.1.1-r1.apk2024-10-26 06:10 70K 
[   ]smassh-pyc-3.1.6-r0.apk2024-11-21 13:56 70K 
[   ]py3-irc-pyc-20.4.1-r1.apk2025-10-10 04:38 70K 
[   ]tree-sitter-caddy-0_git20230322-r0.apk2024-10-26 06:11 70K 
[   ]getmail6-6.19.10-r0.apk2025-08-19 22:29 70K 
[   ]xdg-ninja-0.2.0.2-r0.apk2024-10-26 06:11 70K 
[   ]mimalloc1-insecure-1.9.4-r0.apk2025-11-06 01:28 71K 
[   ]gnucobol-doc-3.2-r0.apk2025-07-29 01:02 71K 
[   ]opendht-dev-3.1.11-r0.apk2025-01-28 07:56 71K 
[   ]apache2-mod-perl-dbg-2.0.13-r2.apk2025-06-30 18:25 71K 
[   ]fastd-23-r0.apk2025-01-28 08:33 71K 
[   ]py3-limits-pyc-3.14.1-r0.apk2024-12-26 05:57 71K 
[   ]ffms2-5.0-r2.apk2025-09-28 00:54 71K 
[   ]dnsperf-2.14.0-r0.apk2024-10-26 06:08 72K 
[   ]tmate-doc-2.4.0-r4.apk2024-10-26 06:11 72K 
[   ]elementary-calculator-8.0.1-r0.apk2025-09-02 13:29 72K 
[   ]php81-ffi-8.1.34-r1.apk2026-01-14 15:08 72K 
[   ]py3-latex2mathml-3.78.1-r1.apk2025-09-30 06:07 72K 
[   ]perl-encode-detect-1.01-r1.apk2025-06-30 18:25 72K 
[   ]openfire-plugins-4.8.1-r1.apk2024-12-04 22:57 72K 
[   ]py3-apio-0.9.5-r0.apk2024-10-26 06:10 72K 
[   ]smassh-3.1.6-r0.apk2024-11-21 13:56 72K 
[   ]hypnotix-lang-3.5-r0.apk2024-10-26 06:09 72K 
[   ]py3-xapp-3.0.1-r0.apk2026-01-10 03:02 72K 
[   ]mxclient-0_git20211002-r1.apk2024-10-26 06:10 73K 
[   ]normaliz-dev-3.10.4-r3.apk2025-11-26 19:24 73K 
[   ]py3-ovos-utils-0.8.1-r0.apk2025-07-16 07:03 73K 
[   ]musikcube-plugin-httpdatastream-3.0.5-r0.apk2025-09-28 08:15 73K 
[   ]rhasspy-nlu-pyc-0.4.0-r3.apk2024-10-26 06:10 73K 
[   ]afew-3.0.1-r0.apk2025-05-19 09:30 73K 
[   ]screenkey-pyc-1.5-r7.apk2025-07-23 15:04 73K 
[   ]php82-doc-8.2.30-r2.apk2026-01-14 15:08 73K 
[   ]ustr-debug-1.0.4-r1.apk2024-10-26 06:11 73K 
[   ]yaru-theme-hdpi-25.10.3-r0.apk2026-01-06 16:46 73K 
[   ]ckb-next-daemon-0.6.2-r1.apk2025-09-07 03:20 73K 
[   ]topgit-doc-0.19.13-r1.apk2024-10-26 06:11 73K 
[   ]kraftkit-doc-0.12.3-r2.apk2026-01-18 09:42 73K 
[   ]libiscsi-static-1.19.0-r2.apk2024-10-26 06:09 74K 
[   ]viewnior-1.8-r1.apk2024-10-26 06:11 74K 
[   ]php82-ffi-8.2.30-r2.apk2026-01-14 15:08 74K 
[   ]py3-scour-pyc-0.38.2-r1.apk2024-10-26 06:10 74K 
[   ]libwbxml-0.11.8-r0.apk2024-10-26 06:09 74K 
[   ]kodi-game-libretro-dosbox-0.74.0.25-r0.apk2024-10-26 06:09 74K 
[   ]py3-mopidy-mpd-pyc-3.3.0-r4.apk2024-10-26 06:10 74K 
[   ]b2-tools-4.5.1-r0.apk2026-01-28 02:00 74K 
[   ]elementary-settings-daemon-lang-8.3.0-r0.apk2025-05-26 20:05 74K 
[   ]elementary-music-8.0.0-r0.apk2024-10-29 09:05 74K 
[   ]vcdimager-doc-2.0.1-r5.apk2025-01-26 17:27 74K 
[   ]php81-mysqlnd-8.1.34-r1.apk2026-01-14 15:08 74K 
[   ]tcmalloc-2.17-r0.apk2025-08-06 00:00 74K 
[   ]php82-mysqlnd-8.2.30-r2.apk2026-01-14 15:08 74K 
[   ]libeantic-2.1.0-r2.apk2025-11-26 19:24 74K 
[   ]pympress-doc-1.8.6-r0.apk2025-11-10 16:33 75K 
[   ]pypy-dev-7.3.19-r0.apk2025-11-02 02:11 75K 
[   ]py3-minio-7.2.18-r0.apk2025-11-10 14:17 75K 
[   ]py3-aesedb-pyc-0.1.8-r0.apk2025-11-03 17:49 75K 
[   ]mkosi-doc-26-r1.apk2025-12-28 04:17 75K 
[   ]libfishsound-doc-1.0.0-r1.apk2024-10-26 06:09 75K 
[   ]xsecurelock-1.9.0-r1.apk2024-10-26 06:11 75K 
[   ]highfive-2.10.1-r0.apk2025-01-15 13:50 75K 
[   ]purple-facebook-0.9.6-r0.apk2024-10-26 06:10 75K 
[   ]py3-markdown2-pyc-2.5.0-r0.apk2024-10-26 06:10 75K 
[   ]perl-pango-1.227-r12.apk2025-06-30 18:25 76K 
[   ]libgivaro-4.2.0-r2.apk2024-10-26 06:09 76K 
[   ]mimalloc1-1.9.4-r0.apk2025-11-06 01:28 76K 
[   ]perl-net-amqp-rabbitmq-2.40014-r1.apk2025-11-21 10:47 76K 
[   ]screenkey-1.5-r7.apk2025-07-23 15:04 76K 
[   ]p0f-3.09b-r3.apk2024-10-26 06:10 76K 
[   ]py3-doit-0.36.0-r5.apk2024-10-26 06:10 77K 
[   ]py3-osqp-pyc-0.6.2-r6.apk2024-10-26 06:10 77K 
[   ]libxo-dev-1.7.5-r0.apk2025-01-13 09:45 77K 
[   ]gearman-libs-1.1.22-r0.apk2025-09-07 03:20 77K 
[   ]soundconverter-pyc-4.1.1-r0.apk2025-07-30 00:12 77K 
[   ]libabigail-doc-2.8-r0.apk2025-11-03 06:50 77K 
[   ]py3-hishel-0.1.4-r0.apk2025-10-15 23:50 77K 
[   ]budgie-screensaver-5.1.0-r0.apk2025-10-17 04:07 77K 
[   ]sdl3_image-3.2.6-r0.apk2026-01-08 13:33 77K 
[   ]monetdb-dev-11.33.11-r4.apk2024-10-26 06:10 77K 
[   ]csfml-dev-2.5.2-r0.apk2024-10-26 06:08 77K 
[   ]py3-apio-pyc-0.9.5-r0.apk2024-10-26 06:10 77K 
[   ]razercfg-0.42-r7.apk2024-10-26 06:10 77K 
[   ]boxes-2.3.1-r0.apk2024-10-26 06:08 77K 
[   ]perl-dbix-class-schema-loader-doc-0.07053-r0.apk2025-06-10 03:31 77K 
[   ]hidrd-0.2.0_git20190603-r1.apk2024-10-26 06:09 77K 
[   ]wlroots0.17-dev-0.17.4-r3.apk2025-08-13 19:05 77K 
[   ]curtail-lang-1.13.0-r0.apk2025-07-06 07:39 78K 
[   ]gammastep-lang-2.0.9-r3.apk2024-10-26 06:09 78K 
[   ]grpc-java-1.78.0-r0.apk2026-01-03 22:24 78K 
[   ]errands-lang-46.2.10-r0.apk2026-01-08 13:33 78K 
[   ]py3-pyparted-3.13.0-r1.apk2024-10-26 06:10 78K 
[   ]btpd-0.16-r2.apk2024-10-26 06:08 78K 
[   ]spiped-1.6.4-r0.apk2025-12-05 05:50 78K 
[   ]py3-nwdiag-pyc-3.0.0-r3.apk2024-10-26 06:10 78K 
[   ]flowd-0.9.1-r11.apk2025-06-30 18:25 78K 
[   ]py3-youtube-search-1.6.6-r5.apk2025-05-15 05:17 78K 
[   ]ytmdl-pyc-2024.08.15.1-r1.apk2025-05-15 05:17 78K 
[   ]py3-pysimplesoap-pyc-1.16.2-r7.apk2024-10-26 06:10 78K 
[   ]linuxptp-ptp4l-4.4-r0.apk2024-11-20 11:45 78K 
[   ]lua5.1-luastatic-0.0.12-r1.apk2024-10-26 06:09 79K 
[   ]ccze-0.2.1-r1.apk2024-10-26 06:08 79K 
[   ]surfraw-2.3.0-r0.apk2024-10-26 06:10 79K 
[   ]perl-algorithm-evolutionary-0.82.1-r0.apk2024-10-26 06:10 79K 
[   ]nb-doc-7.24.0-r0.apk2026-01-22 04:04 79K 
[   ]lomiri-action-api-1.2.1-r0.apk2025-10-26 07:19 79K 
[   ]flatseal-lang-2.3.1-r0.apk2025-06-20 02:30 79K 
[   ]py3-shodan-pyc-1.31.0-r1.apk2024-10-26 06:10 79K 
[   ]lxappearance-lang-0.6.3-r4.apk2026-01-15 02:24 79K 
[   ]atlantik-doc-3.5.10_git20240323-r0.apk2024-10-26 06:08 79K 
[   ]xa-2.4.1-r0.apk2025-02-25 23:36 79K 
[   ]psftools-dev-1.1.2-r0.apk2024-10-26 06:10 79K 
[   ]opkg-libs-0.7.0-r0.apk2024-10-26 06:10 79K 
[   ]py3-apt-lang-3.1.0-r0.apk2025-12-21 14:05 80K 
[   ]py3-ward-pyc-0.67.0_beta0-r2.apk2024-10-26 06:10 80K 
[   ]gupnp-av-0.14.4-r1.apk2025-08-10 12:01 80K 
[   ]reprotest-0.7.32-r0.apk2025-11-03 01:11 80K 
[   ]cpplint-2.0.2-r0.apk2025-04-14 10:33 80K 
[   ]irccd-doc-4.0.3-r0.apk2024-10-26 06:09 80K 
[   ]vit-2.3.2-r1.apk2024-10-26 06:11 80K 
[   ]freediameter-libfdproto-1.5.0-r1.apk2024-10-26 06:09 81K 
[   ]elementary-settings-daemon-8.3.0-r0.apk2025-05-26 20:05 81K 
[   ]mimedefang-doc-3.6-r1.apk2025-10-10 04:38 81K 
[   ]tree-sitter-pascal-0.9.1-r0.apk2024-10-26 06:11 81K 
[   ]ledmon-1.0.0-r0.apk2024-10-26 06:09 81K 
[   ]py3-sphinx-theme-cloud-1.10.0-r2.apk2024-10-26 06:10 81K 
[   ]libstirshaken-dev-0_git20240208-r4.apk2025-05-04 07:03 81K 
[   ]libmustache-0.5.0-r1.apk2024-10-26 06:09 81K 
[   ]horizon-tools-0.9.6-r9.apk2024-10-26 06:09 82K 
[   ]py3-fastavro-pyc-1.12.1-r0.apk2025-10-14 12:59 82K 
[   ]silc-client-doc-1.1.11-r18.apk2025-06-30 18:25 82K 
[   ]getssl-2.48-r0.apk2024-10-26 06:09 82K 
[   ]py3-unearth-pyc-0.18.0-r0.apk2025-10-15 01:54 82K 
[   ]perl-pango-doc-1.227-r12.apk2025-06-30 18:25 82K 
[   ]tcmalloc-profiler-2.17-r0.apk2025-08-06 00:00 82K 
[   ]wlroots0.18-dev-0.18.3-r0.apk2025-11-29 10:54 83K 
[   ]libucl-dev-0.9.0-r0.apk2024-10-26 06:09 83K 
[   ]py3-winacl-0.1.9-r1.apk2025-05-29 23:00 83K 
[   ]elementary-sound-theme-1.1.0-r0.apk2024-11-11 09:07 83K 
[   ]lua5.1-libguestfs-1.56.1-r0.apk2025-07-23 15:04 83K 
[   ]thefuck-3.32-r5.apk2024-10-26 06:11 83K 
[   ]elementary-videos-lang-8.0.2-r0.apk2025-09-02 13:28 83K 
[   ]aide-0.19.2-r0.apk2025-09-07 03:20 84K 
[   ]libmygpo-qt-1.1.0-r2.apk2024-10-26 06:09 84K 
[   ]kronosnet-1.32-r0.apk2025-12-27 21:10 84K 
[   ]py3-asyauth-0.0.23-r0.apk2025-11-03 17:49 84K 
[   ]py3-gls-pyc-1.3.1-r1.apk2024-10-26 06:10 84K 
[   ]dbus-broker-37-r0.apk2025-06-17 22:01 84K 
[   ]pimd-3.0_git20220201-r0.apk2024-10-26 06:10 84K 
[   ]wiringx-dev-0_git20240317-r2.apk2025-03-04 03:40 84K 
[   ]errands-46.2.10-r0.apk2026-01-08 13:33 85K 
[   ]ccrtp-2.1.2-r0.apk2024-10-26 06:08 85K 
[   ]firehol-3.1.7-r2.apk2024-10-26 06:09 85K 
[   ]py3-marshmallow-pyc-3.26.1-r0.apk2025-02-23 02:37 85K 
[   ]viewnior-lang-1.8-r1.apk2024-10-26 06:11 85K 
[   ]aptdec-1.8.0-r1.apk2025-02-09 10:46 85K 
[   ]ctorrent-dnh-3.3.2-r2.apk2024-10-26 06:08 86K 
[   ]neofetch-7.1.0-r2.apk2024-11-07 16:26 86K 
[   ]py3-flask-dbconfig-0.3.12-r8.apk2024-10-26 06:10 86K 
[   ]py3-ovos-ocp-audio-plugin-pyc-0.0.6_alpha2-r3.apk2024-10-26 06:10 86K 
[   ]arc-dark-gtk4-20221218-r1.apk2026-01-22 05:07 86K 
[   ]libretro-pocketcdg-0_git20220327-r0.apk2024-10-26 06:09 86K 
[   ]vim-airline-0.11-r0.apk2024-10-26 06:11 86K 
[   ]py3-ovos-plugin-manager-1.0.3-r0.apk2025-07-16 06:34 86K 
[   ]py3-arcus-5.3.0-r5.apk2025-06-13 02:22 87K 
[   ]gtkhash-1.5-r0.apk2024-10-26 06:09 87K 
[   ]createrepo_c-libs-1.1.4-r1.apk2025-12-31 07:57 87K 
[   ]php84-pecl-solr-2.9.1-r0.apk2025-11-25 16:10 87K 
[   ]php85-pecl-solr-2.9.1-r0.apk2025-11-25 16:10 87K 
[   ]lua-lut-1.2.1-r0.apk2024-10-26 06:09 87K 
[   ]elementary-camera-8.0.2-r0.apk2025-09-02 13:25 87K 
[   ]xfce4-mixer-4.18.1-r2.apk2024-10-26 06:11 88K 
[   ]duc-1.4.5-r0.apk2024-10-26 06:09 88K 
[   ]kodi-inputstream-rtmp-21.1.2-r0.apk2025-03-03 03:15 88K 
[   ]gpsbabel-lang-1.10.0-r0.apk2025-11-07 00:14 88K 
[   ]elementary-dock-8.0.2-r0.apk2025-05-25 09:57 88K 
[   ]lfm-3.1-r4.apk2024-10-26 06:09 88K 
[   ]lavacli-pyc-2.4-r0.apk2025-06-15 15:00 88K 
[   ]py3-asysocks-0.2.18-r0.apk2025-11-03 17:49 88K 
[   ]dodo-pyc-0_git20250926-r0.apk2025-09-28 08:59 89K 
[   ]boost1.89-serialization-1.89.0-r1.apk2026-01-18 09:42 89K 
[   ]mongo-cxx-driver-dev-3.8.0-r0.apk2024-10-26 06:10 89K 
[   ]naken_asm-dev-0_git20240726-r0.apk2026-01-25 01:01 89K 
[   ]py3-ovos-bus-client-pyc-1.3.4-r0.apk2025-05-04 07:03 89K 
[   ]ruby-coderay-1.1.3-r0.apk2025-05-26 10:56 89K 
[   ]py3-fpdf-pyc-1.7.2-r5.apk2024-10-26 06:10 89K 
[   ]fusesoc-pyc-2.3-r0.apk2024-10-26 06:09 89K 
[   ]tcmu-runner-1.6.0-r6.apk2024-10-26 06:10 89K 
[   ]barman-doc-3.17.0-r0.apk2026-01-22 03:58 89K 
[   ]hare-adwaita-0.1.0-r0.apk2025-08-26 04:30 90K 
[   ]gammastep-2.0.9-r3.apk2024-10-26 06:09 90K 
[   ]gupnp-1.6.9-r1.apk2025-08-10 12:01 90K 
[   ]libigraph-dev-1.0.1-r0.apk2025-12-31 00:36 90K 
[   ]xtl-0.8.1-r0.apk2025-10-28 00:41 90K 
[   ]subliminal-2.4.0-r0.apk2025-11-24 11:46 90K 
[   ]perl-finance-quote-doc-1.68-r0.apk2025-12-22 21:54 90K 
[   ]py3-pypubsub-pyc-4.0.3-r0.apk2024-10-26 06:10 90K 
[   ]monopd-0.10.4-r0.apk2025-01-11 22:11 90K 
[   ]py3-qdldl-0.1.5-r4.apk2024-10-26 06:10 90K 
[   ]perl-soap-lite-doc-1.27-r5.apk2024-10-26 06:10 90K 
[   ]py3-dogpile.cache-pyc-1.3.3-r1.apk2025-05-15 05:17 90K 
[   ]boost1.89-python3-1.89.0-r1.apk2026-01-18 09:42 91K 
[   ]py3-tidalapi-pyc-0.8.4-r0.apk2025-07-13 03:01 91K 
[   ]pigpio-dev-79-r4.apk2024-10-26 06:10 91K 
[   ]py3-ovos-backend-client-pyc-1.0.0-r0.apk2024-10-26 06:10 91K 
[   ]libiscsi-utils-1.19.0-r2.apk2024-10-26 06:09 91K 
[   ]predict-2.3.1-r0.apk2024-11-24 18:46 91K 
[   ]anarch-1.0-r1.apk2024-10-26 06:08 91K 
[   ]py3-rosdistro-pyc-0.9.0-r3.apk2024-10-26 06:10 91K 
[   ]simavr-1.7-r1.apk2024-10-26 06:10 91K 
[   ]lomiri-terminal-app-lang-2.0.5-r0.apk2025-05-10 04:27 91K 
[   ]libctl-4.5.1-r1.apk2024-10-26 06:09 91K 
[   ]ustr-dev-1.0.4-r1.apk2024-10-26 06:11 91K 
[   ]py3-pyatem-pyc-0.5.0-r4.apk2024-10-26 06:10 92K 
[   ]opentelemetry-cpp-exporter-otlp-http-1.24.0-r0.apk2025-11-23 00:32 92K 
[   ]jdebp-redo-1.4-r1.apk2024-10-26 06:09 92K 
[   ]lumina-desktop-sudo-1.6.2-r0.apk2024-10-26 06:09 92K 
[   ]armagetronad-doc-0.2.9.1.1-r0.apk2024-10-26 06:08 92K 
[   ]py3-unicrypto-pyc-0.0.12-r0.apk2025-11-03 17:49 92K 
[   ]py3-ovos-workshop-7.0.6-r0.apk2025-07-16 06:30 92K 
[   ]py3-unicorn-pyc-2.1.4-r0.apk2025-10-15 01:46 92K 
[   ]video-trimmer-lang-25.03-r0.apk2025-07-01 00:44 93K 
[   ]libsemanage-3.6-r1.apk2024-10-26 06:09 93K 
[   ]sqliteodbc-0.99991-r0.apk2024-10-26 06:10 93K 
[   ]py3-mistletoe-pyc-1.4.0-r0.apk2025-03-26 22:51 93K 
[   ]ruby-build-20250925-r0.apk2025-09-29 02:45 93K 
[   ]py3-pigpio-79-r4.apk2024-10-26 06:10 93K 
[   ]libmdbx-dev-0.11.8-r0.apk2024-10-26 06:09 93K 
[   ]kodi-audioencoder-lame-20.3.0-r1.apk2024-10-26 06:09 93K 
[   ]arc-dark-gtk3-20221218-r1.apk2026-01-22 05:07 93K 
[   ]care-2.3.0-r1.apk2024-10-26 06:08 93K 
[   ]mpdcron-0.3-r1.apk2024-10-26 06:10 94K 
[   ]castero-pyc-0.9.5-r4.apk2025-05-15 05:17 94K 
[   ]py3-requests-cache-pyc-1.2.1-r2.apk2026-01-18 20:00 94K 
[   ]valent-dev-1.0.0_alpha49-r0.apk2025-12-25 05:31 94K 
[   ]libblastrampoline-dev-5.2.0-r0.apk2024-10-26 06:09 94K 
[   ]sblim-wbemcli-1.6.3-r1.apk2024-10-26 06:10 94K 
[   ]py3-moviepy-1.0.3-r6.apk2024-10-26 06:10 94K 
[   ]py3-mnemonic-0.21-r0.apk2024-10-26 06:10 95K 
[   ]py3-ovos-phal-plugin-network-manager-1.3.2-r0.apk2024-11-22 00:31 95K 
[   ]moosefs-doc-4.56.6-r2.apk2025-06-19 19:44 95K 
[   ]guish-2.6.11-r0.apk2024-12-24 21:42 95K 
[   ]py3-youtube-search-pyc-1.6.6-r5.apk2025-05-15 05:17 95K 
[   ]py3-flask-peewee-pyc-3.0.6-r0.apk2024-10-26 06:10 95K 
[   ]csfml-2.5.2-r0.apk2024-10-26 06:08 96K 
[   ]cpp-httplib-0.30.1-r0.apk2026-01-11 02:30 96K 
[   ]mkrundir-0.4.0-r1.apk2024-11-29 03:16 96K 
[   ]rmlint-shredder-2.10.2-r2.apk2024-10-26 06:10 96K 
[   ]lomiri-notifications-1.3.1-r0.apk2025-01-10 21:08 96K 
[   ]libgivaro-static-4.2.0-r2.apk2024-10-26 06:09 96K 
[   ]ssdfs-tools-4.09-r0.apk2024-10-26 06:10 96K 
[   ]openjfx-doc-21.0.3_p1-r0.apk2026-01-20 09:38 96K 
[   ]burp-doc-3.2.0-r0.apk2026-01-18 13:41 96K 
[   ]libmhash-0.9.9.9-r3.apk2024-10-26 06:09 96K 
[   ]memray-pyc-1.19.1-r0.apk2025-11-09 02:41 96K 
[   ]ustr-doc-1.0.4-r1.apk2024-10-26 06:11 97K 
[   ]lua5.1-cmark-0.31.1-r0.apk2026-01-20 23:26 97K 
[   ]py3-pystache-pyc-0.6.5-r1.apk2024-10-26 06:10 97K 
[   ]lua5.2-cmark-0.31.1-r0.apk2026-01-20 23:26 97K 
[   ]lua5.4-cmark-0.31.1-r0.apk2026-01-20 23:26 97K 
[   ]lua5.3-cmark-0.31.1-r0.apk2026-01-20 23:26 97K 
[   ]libiio-tools-0.25-r2.apk2024-10-26 06:09 97K 
[   ]oblibs-dbg-0.3.4.0-r0.apk2025-06-02 02:51 97K 
[   ]py3-chameleon-4.6.0-r0.apk2025-07-06 20:16 97K 
[   ]perl-dbix-class-schema-loader-0.07053-r0.apk2025-06-10 03:31 97K 
[   ]kodi-vfs-sacd-20.1.0-r1.apk2024-10-26 06:09 97K 
[   ]u1db-qt-0.1.8-r0.apk2024-10-26 06:11 97K 
[   ]py3-scs-3.2.3-r4.apk2024-10-26 06:10 98K 
[   ]py3-avro-1.11.3-r1.apk2024-10-26 06:10 98K 
[   ]py3-transitions-0.9.2-r0.apk2024-10-26 06:10 98K 
[   ]azote-pyc-1.14.0-r0.apk2024-12-15 07:38 98K 
[   ]py3-qpageview-0.6.2-r1.apk2024-10-26 06:10 98K 
[   ]py3-drf-yasg-pyc-1.21.10-r0.apk2025-07-15 19:28 98K 
[   ]idevicerestore-1.0.0_git20250914-r0.apk2025-10-10 04:38 99K 
[   ]lomiri-ui-toolkit-lang-1.3.5902-r0.apk2026-01-27 00:57 99K 
[   ]tree-sitter-dart-0_git20250228-r0.apk2025-03-11 14:55 99K 
[   ]cpplint-pyc-2.0.2-r0.apk2025-04-14 10:33 99K 
[   ]nm-tray-0.5.1-r0.apk2025-09-12 04:35 99K 
[   ]py3-cdio-2.1.1-r6.apk2025-01-26 17:27 100K 
[   ]qdjango-0.6.2-r1.apk2024-10-26 06:10 100K 
[   ]caffeine-ng-4.2.0-r1.apk2024-10-26 06:08 100K 
[   ]sshuttle-pyc-1.1.2-r0.apk2024-10-26 06:10 101K 
[   ]ruby-libguestfs-1.56.1-r0.apk2025-07-23 15:04 101K 
[   ]nbsdgames-5-r0.apk2024-10-26 06:10 101K 
[   ]hdf4-dev-4.2.15-r2.apk2024-10-26 06:09 101K 
[   ]py3-osqp-0.6.2-r6.apk2024-10-26 06:10 101K 
[   ]interception-tools-0.6.8-r3.apk2025-10-13 01:19 101K 
[   ]urlwatch-pyc-2.28-r2.apk2024-10-26 06:11 101K 
[   ]tachyon-0.99_beta6-r2.apk2025-12-11 03:38 101K 
[   ]hpnssh-doc-18.8.0-r0.apk2025-11-29 06:38 101K 
[   ]h4h5tools-2.2.5-r4.apk2024-10-26 06:09 102K 
[   ]otf-atkinson-hyperlegible-2020.0514-r1.apk2024-10-26 06:10 102K 
[   ]openscap-daemon-pyc-0.1.10-r9.apk2024-10-26 06:10 102K 
[   ]ab-tidy-0.1.0-r2.apk2025-02-24 08:00 102K 
[   ]gtimelog-pyc-0.12_git20251114-r0.apk2025-11-19 07:54 102K 
[   ]libfoma-0.10.0_git20240712-r0.apk2024-10-26 06:09 103K 
[   ]py3-catkin-pkg-pyc-0.5.2-r4.apk2024-10-26 06:10 103K 
[   ]libsbsms-2.3.0-r0.apk2024-10-26 06:09 103K 
[   ]reprotest-pyc-0.7.32-r0.apk2025-11-03 01:11 103K 
[   ]tcmalloc-minimal-debug-2.17-r0.apk2025-08-06 00:00 103K 
[   ]py3-ovos-classifiers-0.0.0_alpha53-r0.apk2024-10-26 06:10 103K 
[   ]dooit-pyc-3.3.3-r0.apk2025-10-27 20:05 103K 
[   ]rizin-cutter-dev-2.4.1-r1.apk2026-01-08 13:33 103K 
[   ]getmail6-pyc-6.19.10-r0.apk2025-08-19 22:29 103K 
[   ]prosody-mod-register_json-0.11_hg20201208-r0.apk2024-10-26 06:10 104K 
[   ]py3-ovos-ocp-files-plugin-pyc-0.13.1-r0.apk2024-10-26 06:10 104K 
[   ]gtypist-doc-2.10.1-r0.apk2025-10-13 07:59 104K 
[   ]catfish-pyc-4.20.1-r0.apk2025-07-08 06:19 104K 
[   ]py3-engineio-pyc-4.12.3-r0.apk2025-11-27 20:35 104K 
[   ]pithos-1.6.1-r0.apk2024-10-26 06:10 104K 
[   ]libofx-tools-0.10.9-r1.apk2024-10-26 06:09 105K 
[   ]fceux-doc-2.6.6-r4.apk2025-09-28 00:54 105K 
[   ]lkrg-0.9.6-r1.apk2025-01-09 22:44 105K 
[   ]handlebars-1.0.0-r1.apk2024-10-26 06:09 105K 
[   ]php82-pecl-imagick-3.8.1-r0.apk2025-11-28 11:05 105K 
[   ]php81-pecl-imagick-3.8.1-r0.apk2025-11-28 11:05 105K 
[   ]policycoreutils-lang-3.6-r1.apk2024-10-26 06:10 105K 
[   ]wf-config-0.9.0-r0.apk2025-02-28 07:17 106K 
[   ]transmission-remote-gtk-lang-1.6.0-r0.apk2024-10-26 06:11 106K 
[   ]daemontools-0.76-r3.apk2024-10-26 06:08 106K 
[   ]lomiri-gallery-app-lang-3.0.2-r2.apk2025-08-19 20:31 106K 
[   ]py3-libcec-rpi-6.0.2-r4.apk2024-10-26 06:10 106K 
[   ]perl-sys-virt-doc-11.10.0-r0.apk2025-12-04 03:05 106K 
[   ]py3-ncclient-pyc-0.6.13-r5.apk2024-10-26 06:10 107K 
[   ]ssh-studio-pyc-1.3.1-r0.apk2025-10-13 07:35 107K 
[   ]perl-software-license-0.104007-r0.apk2025-07-09 03:53 107K 
[   ]py3-hg-git-pyc-1.1.1-r1.apk2024-10-26 06:10 107K 
[   ]moe-1.14-r0.apk2024-10-26 06:10 107K 
[   ]soundconverter-lang-4.1.1-r0.apk2025-07-30 00:12 107K 
[   ]php81-spx-0.4.21-r0.apk2025-10-10 04:38 107K 
[   ]formiko-1.5.0-r1.apk2026-01-08 13:33 107K 
[   ]php82-spx-0.4.21-r0.apk2025-10-24 13:09 107K 
[   ]py3-lsprotocol-pyc-2023.0.1-r1.apk2024-10-26 06:10 107K 
[   ]ruri-3.9.3-r0.apk2025-10-27 20:05 107K 
[   ]otrs-setup-6.0.48-r2.apk2024-10-26 06:10 107K 
[   ]crispy-doom-doc-7.1-r0.apk2025-09-24 13:49 107K 
[   ]lomiri-settings-components-lang-1.1.3-r0.apk2025-10-26 07:19 108K 
[   ]mediastreamer2-doc-5.3.112-r1.apk2025-10-20 00:37 108K 
[   ]lizardfs-3.13.0-r17.apk2025-06-19 19:44 108K 
[   ]ttfautohint-libs-1.8.4-r0.apk2024-10-26 06:11 108K 
[   ]elf_diff-pyc-0.7.1-r3.apk2024-10-26 06:09 108K 
[   ]kronosnet-doc-1.32-r0.apk2025-12-27 21:10 108K 
[   ]elf_diff-0.7.1-r3.apk2024-10-26 06:09 108K 
[   ]lomiri-telephony-service-lang-0.6.2-r2.apk2026-01-15 06:46 109K 
[   ]libmhash-dev-0.9.9.9-r3.apk2024-10-26 06:09 109K 
[   ]zapzap-6.0.1.8-r0.apk2025-04-14 10:58 109K 
[   ]ovos-dinkum-listener-0.4.1-r0.apk2025-07-16 07:03 109K 
[   ]py3-dnslib-pyc-0.9.26-r0.apk2025-09-02 05:15 109K 
[   ]way-displays-1.15.0-r0.apk2025-09-30 20:47 109K 
[   ]perl-libapreq2-2.17-r3.apk2025-06-30 18:25 109K 
[   ]py3-lxmf-pyc-0.8.0-r0.apk2025-09-24 08:13 109K 
[   ]66-tools-dbg-0.1.2.0-r0.apk2025-06-02 02:50 109K 
[   ]bake-2.5.1-r0.apk2024-10-26 06:08 110K 
[   ]mediastreamer2-dev-5.3.112-r1.apk2025-10-20 00:37 110K 
[   ]py3-langcodes-pyc-3.3.0-r2.apk2024-10-26 06:10 110K 
[   ]belr-5.3.105-r0.apk2025-02-25 23:52 110K 
[   ]hyprpicker-0.4.5-r1.apk2025-12-14 21:58 110K 
[   ]android-file-transfer-cli-4.5-r0.apk2025-06-25 10:02 110K 
[   ]font-cousine-0_git20210228-r0.apk2024-10-26 06:09 110K 
[   ]gnome-latex-doc-3.49.0-r0.apk2026-01-08 13:33 110K 
[   ]icingaweb2-module-businessprocess-2.5.2-r0.apk2025-08-14 02:54 110K 
[   ]hypnotix-3.5-r0.apk2024-10-26 06:09 110K 
[   ]tree-sitter-typst-0.11.0-r1.apk2026-01-05 03:20 110K 
[   ]arc-darker-gtk4-20221218-r1.apk2026-01-22 05:07 110K 
[   ]perl-soap-lite-1.27-r5.apk2024-10-26 06:10 110K 
[   ]catdoc-0.95-r1.apk2024-10-26 06:08 110K 
[   ]py3-joserfc-pyc-1.5.0-r0.apk2025-12-05 05:50 110K 
[   ]libnih-1.0.3-r7.apk2024-10-26 06:09 110K 
[   ]berry-lang-1.1.0-r0.apk2024-10-26 06:08 111K 
[   ]maxima-emacs-5.48.1-r9.apk2025-08-22 21:16 111K 
[   ]menumaker-0.99.14-r1.apk2024-10-26 06:10 111K 
[   ]compiz-pyc-0.9.14.2-r13.apk2025-11-29 11:01 111K 
[   ]py3-litex-hub-valentyusb-2024.04-r0.apk2024-10-26 06:10 112K 
[   ]py3-litex-hub-pythondata-cpu-lm32-2024.04-r0.apk2024-10-26 06:10 112K 
[   ]primesieve-libs-12.10-r0.apk2025-11-26 06:07 112K 
[   ]kodi-vfs-libarchive-21.0.2-r0.apk2025-03-03 03:15 112K 
[   ]h4h5tools-static-2.2.5-r4.apk2024-10-26 06:09 113K 
[   ]pyradio-doc-0.9.3.11-r0.apk2024-10-26 06:10 113K 
[   ]py3-caldav-2.2.3-r0.apk2025-12-08 06:13 113K 
[   ]arc-lighter-gtk4-20221218-r1.apk2026-01-22 05:07 113K 
[   ]x11docker-7.6.0-r1.apk2024-10-26 06:11 113K 
[   ]arc-gtk4-20221218-r1.apk2026-01-22 05:07 113K 
[   ]whipper-0.10.0-r5.apk2024-10-26 06:11 113K 
[   ]xosview-1.24-r0.apk2024-10-26 06:11 114K 
[   ]katarakt-0.3-r0.apk2026-01-06 07:15 114K 
[   ]perl-finance-quote-1.68-r0.apk2025-12-22 21:54 114K 
[   ]wasmtime-dev-39.0.1-r0.apk2025-12-09 08:09 114K 
[   ]elementary-videos-8.0.2-r0.apk2025-09-02 13:28 115K 
[   ]hatch-1.16.1-r0.apk2025-12-01 07:01 115K 
[   ]py3-flask-restaction-0.25.3-r8.apk2024-10-26 06:10 115K 
[   ]otpclient-4.1.1-r0.apk2025-07-23 15:04 115K 
[   ]detox-2.0.0-r0.apk2024-10-26 06:08 115K 
[   ]pigpio-doc-79-r4.apk2024-10-26 06:10 115K 
[   ]opkg-dev-0.7.0-r0.apk2024-10-26 06:10 116K 
[   ]hamster-time-tracker-doc-3.0.3-r2.apk2024-10-26 06:09 116K 
[   ]powerctl-1.1-r6.apk2025-04-19 03:34 116K 
[   ]tcmalloc-debug-2.17-r0.apk2025-08-06 00:00 116K 
[   ]mangowc-0.11.0-r0.apk2026-01-21 20:32 117K 
[   ]php81-phar-8.1.34-r1.apk2026-01-14 15:08 117K 
[   ]libvisio2svg-utils-0.5.5-r3.apk2024-10-26 06:09 117K 
[   ]compiz-dev-0.9.14.2-r13.apk2025-11-29 11:01 117K 
[   ]libneo4j-client-dev-2.2.0-r3.apk2024-10-26 06:09 117K 
[   ]php82-phar-8.2.30-r2.apk2026-01-14 15:08 117K 
[   ]py3-spnego-0.11.2-r0.apk2025-01-16 18:52 118K 
[   ]budgie-session-0.9.1-r0.apk2025-10-17 04:07 118K 
[   ]uucp-doc-1.07-r6.apk2024-10-26 06:11 118K 
[   ]zile-2.6.2-r1.apk2024-10-26 06:11 118K 
[   ]cluster-glue-libs-1.0.12-r5.apk2024-10-26 06:08 118K 
[   ]font-firamath-0.3.4-r0.apk2024-10-26 06:09 118K 
[   ]qt6-qtgraphs-dev-6.10.1-r0.apk2025-12-11 03:28 118K 
[   ]shellinabox-2.21-r3.apk2024-10-26 06:10 119K 
[   ]wok-pyc-3.0.0-r6.apk2024-10-26 06:11 119K 
[   ]py3-poppler-qt5-21.3.0-r2.apk2025-01-30 06:49 119K 
[   ]liboggz-1.1.1-r2.apk2024-10-26 06:09 119K 
[   ]rosdep-pyc-0.19.0-r6.apk2024-10-26 06:10 119K 
[   ]py3-apt-pyc-3.1.0-r0.apk2025-12-21 14:05 119K 
[   ]libretro-xrick-0_git20220331-r0.apk2024-10-26 06:09 119K 
[   ]atomicparsley-20240608-r0.apk2024-10-26 06:08 119K 
[   ]osmctools-0.9-r0.apk2024-10-26 06:10 120K 
[   ]lumina-desktop-photo-1.6.2-r0.apk2024-10-26 06:09 120K 
[   ]kodi-game-libretro-20.1.0-r0.apk2024-10-26 06:09 120K 
[   ]sbase-0_git20210730-r3.apk2024-10-26 06:10 121K 
[   ]nullmailer-2.2-r4.apk2024-10-26 06:10 121K 
[   ]cproc-dbg-0_git20240427-r1.apk2024-11-04 08:51 121K 
[   ]libabigail-tools-2.8-r0.apk2025-11-03 06:50 121K 
[   ]moosefs-cgi-4.56.6-r2.apk2025-06-19 19:44 121K 
[   ]libnih-dev-1.0.3-r7.apk2024-10-26 06:09 121K 
[   ]drogon-dev-1.9.4-r2.apk2025-05-22 17:53 121K 
[   ]perl-dbix-class-helpers-doc-2.037000-r0.apk2024-11-20 11:45 121K 
[   ]keyboard-backlight-1.4.0_git20220127-r0.apk2026-01-25 06:30 121K 
[   ]faust-tools-2.79.3-r0.apk2025-06-08 04:01 122K 
[   ]php82-gd-8.2.30-r2.apk2026-01-14 15:08 122K 
[   ]boinc-screensaver-7.24.3-r0.apk2024-10-26 06:08 123K 
[   ]py3-edalize-0.5.4-r0.apk2024-10-26 06:10 123K 
[   ]py3-m2crypto-pyc-0.41.0-r2.apk2024-10-26 06:10 123K 
[   ]php81-gd-8.1.34-r1.apk2026-01-14 15:08 123K 
[   ]deviced-0_git20250427-r0.apk2025-07-06 07:03 123K 
[   ]py3-minikerberos-0.4.9-r0.apk2025-11-03 17:49 123K 
[   ]py3-cchardet-2.1.7-r5.apk2024-10-26 06:10 123K 
[   ]lomiri-thumbnailer-doc-3.1.0-r0.apk2025-12-09 22:10 124K 
[   ]arc-darker-gtk3-20221218-r1.apk2026-01-22 05:07 124K 
[   ]hw-probe-1.6.6-r2.apk2025-06-30 18:25 124K 
[   ]libsbsms-dev-2.3.0-r0.apk2024-10-26 06:09 124K 
[   ]himitsu-git-0.9.0-r0.apk2025-08-21 03:37 124K 
[   ]rmlint-shredder-pyc-2.10.2-r2.apk2024-10-26 06:10 124K 
[   ]arc-lighter-gtk3-20221218-r1.apk2026-01-22 05:07 125K 
[   ]vcdimager-dev-2.0.1-r5.apk2025-01-26 17:27 125K 
[   ]barnyard2-2.1.14_git20160413-r1.apk2024-10-26 06:08 125K 
[   ]py3-kazoo-0_git20211202-r4.apk2024-10-26 06:10 125K 
[   ]ginger-lang-2.4.0-r7.apk2024-10-26 06:09 125K 
[   ]php81-soap-8.1.34-r1.apk2026-01-14 15:08 126K 
[   ]libretro-dinothawr-0_git20220401-r0.apk2024-10-26 06:09 126K 
[   ]arc-gtk3-20221218-r1.apk2026-01-22 05:07 126K 
[   ]zapzap-pyc-6.0.1.8-r0.apk2025-04-14 10:58 126K 
[   ]ruby-byebug-13.0.0-r0.apk2026-01-18 09:42 126K 
[   ]stgit-doc-2.4.7-r1.apk2024-10-26 06:10 126K 
[   ]lizardfs-metalogger-3.13.0-r17.apk2025-06-19 19:44 126K 
[   ]py3-msldap-0.5.15-r2.apk2025-10-18 04:04 127K 
[   ]topgit-0.19.13-r1.apk2024-10-26 06:11 127K 
[   ]py3-socketio-pyc-5.13.0-r0.apk2025-09-07 03:20 127K 
[   ]tomcat9-admin-9.0.112-r0.apk2025-12-02 07:49 127K 
[   ]piper-tts-2023.11.14.2-r14.apk2025-07-13 03:01 127K 
[   ]cdist-pyc-7.0.0-r6.apk2024-10-26 06:08 128K 
[   ]catfish-4.20.1-r0.apk2025-07-08 06:19 128K 
[   ]php82-soap-8.2.30-r2.apk2026-01-14 15:08 128K 
[   ]php82-pecl-teds-1.3.0-r0.apk2024-10-26 06:10 128K 
[   ]zfsbootmenu-2.3.0-r1.apk2024-10-26 06:11 128K 
[   ]py3-github3-4.0.1-r1.apk2024-10-26 06:10 128K 
[   ]android-file-transfer-libs-4.5-r0.apk2025-06-25 10:02 128K 
[   ]hypridle-0.1.7-r1.apk2025-12-14 21:58 129K 
[   ]gcli-2.9.1-r0.apk2025-11-10 03:39 129K 
[   ]py3-transitions-pyc-0.9.2-r0.apk2024-10-26 06:10 129K 
[   ]conserver-8.2.7-r0.apk2025-12-19 04:45 129K 
[   ]py3-minidump-pyc-0.0.24-r1.apk2025-05-29 23:00 129K 
[   ]modem-manager-gui-lang-0.0.20-r0.apk2024-10-26 06:10 129K 
[   ]typobuster-1.0.0-r0.apk2025-05-04 07:03 129K 
[   ]hidrd-dev-0.2.0_git20190603-r1.apk2024-10-26 06:09 130K 
[   ]tpm2-pkcs11-1.9.2-r0.apk2025-12-14 03:10 130K 
[   ]wayfire-dev-0.9.0-r0.apk2025-02-28 07:17 130K 
[   ]libvoikko-4.3.2-r1.apk2024-10-26 06:09 130K 
[   ]py3-marisa-trie-1.3.1-r0.apk2025-08-29 20:31 130K 
[   ]py3-dbus-fast-pyc-3.1.2-r0.apk2025-11-24 08:15 130K 
[   ]py3-ovos-utils-pyc-0.8.1-r0.apk2025-07-16 07:03 130K 
[   ]river-bedload-0.1.1_git20250823-r0.apk2025-09-21 18:18 131K 
[   ]py3-chameleon-pyc-4.6.0-r0.apk2025-07-06 20:16 131K 
[   ]bdfr-2.6.2-r1.apk2024-10-26 06:08 131K 
[   ]py3-winacl-pyc-0.1.9-r1.apk2025-05-29 23:00 131K 
[   ]kpatch-0.9.11-r1.apk2025-11-18 00:13 131K 
[   ]rmlint-2.10.2-r2.apk2024-10-26 06:10 131K 
[   ]valent-libs-1.0.0_alpha49-r0.apk2025-12-25 05:31 132K 
[   ]keepsecret-1.0.0-r0.apk2025-12-16 03:22 132K 
[   ]hyprsunset-0.3.3-r1.apk2025-12-14 21:58 132K 
[   ]w_scan2-1.0.17-r0.apk2025-06-12 04:40 132K 
[   ]debconf-lang-1.5.82-r0.apk2024-10-26 06:08 132K 
[   ]boost1.89-url-1.89.0-r1.apk2026-01-18 09:42 132K 
[   ]py3-pdoc-15.0.4-r0.apk2025-10-13 08:19 133K 
[   ]cgiirc-0.5.12-r1.apk2024-10-26 06:08 133K 
[   ]py3-doit-pyc-0.36.0-r5.apk2024-10-26 06:10 133K 
[   ]php81-intl-8.1.34-r1.apk2026-01-14 15:08 133K 
[   ]lfm-pyc-3.1-r4.apk2024-10-26 06:09 134K 
[   ]wolfssh-1.4.17-r2.apk2026-01-04 10:39 134K 
[   ]liboggz-doc-1.1.1-r2.apk2024-10-26 06:09 134K 
[   ]wayqt-0.3.0-r1.apk2025-08-21 18:47 134K 
[   ]minigalaxy-pyc-1.4.0-r0.apk2025-07-12 17:30 135K 
[   ]perl-html-formhandler-0.40068-r0.apk2025-05-04 07:03 135K 
[   ]neard-0.19-r1.apk2026-01-19 06:02 136K 
[   ]tinygltf-2.9.7-r0.apk2025-11-03 07:50 136K 
[   ]bootchart2-0.14.9-r1.apk2025-11-18 00:13 136K 
[   ]ovos-audio-1.0.1-r0.apk2025-07-16 07:03 136K 
[   ]motion-4.7.1-r0.apk2025-09-28 08:15 137K 
[   ]arj-0_git20220125-r1.apk2024-10-26 06:08 137K 
[   ]py3-confusable-homoglyphs-3.3.1-r0.apk2025-09-26 23:14 137K 
[   ]lomiri-docviewer-app-lang-3.1.2-r0.apk2025-08-20 07:08 138K 
[   ]remake-1.5-r1.apk2024-10-26 06:10 138K 
[   ]qml-box2d-0_git20180406-r0.apk2024-10-26 06:10 138K 
[   ]libtins-dev-4.5-r2.apk2025-10-15 19:21 138K 
[   ]steghide-0.5.1.1-r0.apk2024-10-26 06:10 138K 
[   ]boost1.89-regex-1.89.0-r1.apk2026-01-18 09:42 138K 
[   ]getmail6-doc-6.19.10-r0.apk2025-08-19 22:29 139K 
[   ]materia-gtk-theme-20210322-r4.apk2026-01-20 15:56 139K 
[   ]pnmixer-0.7.2-r4.apk2026-01-18 09:42 139K 
[   ]bochs-doc-2.8-r1.apk2025-02-23 02:37 139K 
[   ]b2-tools-pyc-4.5.1-r0.apk2026-01-28 02:00 139K 
[   ]py3-cmd2-2.4.3-r2.apk2024-10-26 06:10 139K 
[   ]motion-doc-4.7.1-r0.apk2025-09-28 08:15 140K 
[   ]deblob-0.12-r0.apk2025-10-15 09:47 140K 
[   ]py3-piper-phonemize-2023.11.14.4-r9.apk2025-07-13 03:01 141K 
[   ]gtranslator-49.0-r0.apk2025-09-15 18:46 141K 
[   ]piper-tts-dev-2023.11.14.2-r14.apk2025-07-13 03:01 141K 
[   ]fluent-bit-dev-4.2.0-r0.apk2025-12-05 05:49 141K 
[   ]klevernotes-lang-1.1.0-r0.apk2024-10-26 06:09 142K 
[   ]rauc-1.10.1-r0.apk2024-10-26 06:10 142K 
[   ]py3-migen-0.9.2-r2.apk2024-10-26 06:10 143K 
[   ]mapnik-doc-4.1.4-r2.apk2026-01-27 22:55 143K 
[   ]terminology-lang-1.14.0-r0.apk2025-05-28 09:49 143K 
[   ]treecat-1.0.2_git20240706-r1.apk2024-11-29 23:12 143K 
[   ]zutty-0.16-r0.apk2025-01-13 08:00 143K 
[   ]generate-kernel-cmdline-1.0-r0.apk2026-01-23 10:37 143K 
[   ]nomadnet-0.8.0-r0.apk2025-09-24 08:13 143K 
[   ]py3-hishel-pyc-0.1.4-r0.apk2025-10-15 23:50 144K 
[   ]transmission-remote-gtk-1.6.0-r0.apk2024-10-26 06:11 144K 
[   ]smplxmpp-0.9.3-r5.apk2025-10-12 23:09 145K 
[   ]font-fira-code-vf-6.2-r0.apk2024-10-26 06:09 145K 
[   ]rivercarro-0.6.0-r0.apk2025-10-10 04:38 145K 
[   ]bionic_translation-dbg-0_git20251125-r0.apk2026-01-08 23:08 146K 
[   ]py3-opendht-3.1.11-r0.apk2025-01-28 07:56 146K 
[   ]gatling-0.16-r6.apk2024-10-26 06:09 146K 
[   ]obnc-0.17.2-r0.apk2025-05-26 10:37 146K 
[   ]zelbar-1.2.0-r0.apk2026-01-25 00:43 146K 
[   ]kontainer-1.3.0-r0.apk2026-01-29 04:46 146K 
[   ]php81-pecl-protobuf-4.33.4-r0.apk2026-01-15 17:34 147K 
[   ]mmix-0_git20221025-r0.apk2024-10-26 06:10 147K 
[   ]php82-pecl-protobuf-4.33.4-r0.apk2026-01-15 17:34 147K 
[   ]tinyemu-2019.12.21-r0.apk2025-05-26 10:35 147K 
[   ]py3-pelican-pyc-4.9.1-r2.apk2024-10-26 06:10 147K 
[   ]perl-dancer2-2.0.1-r0.apk2026-01-24 21:28 148K 
[   ]sdparm-1.12-r1.apk2024-10-26 06:10 148K 
[   ]perl-algorithm-evolutionary-doc-0.82.1-r0.apk2024-10-26 06:10 148K 
[   ]perl-ffi-platypus-doc-2.11-r0.apk2026-01-13 18:10 148K 
[   ]openswitcher-0.5.0-r4.apk2024-10-26 06:10 148K 
[   ]py3-blockdiag-pyc-3.0.0-r6.apk2025-01-11 03:19 149K 
[   ]log4cxx-dev-1.5.0-r0.apk2026-01-08 13:33 149K 
[   ]freediameter-libfdcore-1.5.0-r1.apk2024-10-26 06:09 149K 
[   ]php82-intl-8.2.30-r2.apk2026-01-14 15:08 149K 
[   ]mir-demos-2.25.2-r0.apk2025-12-19 01:26 150K 
[   ]libsemanage-dev-3.6-r1.apk2024-10-26 06:09 150K 
[   ]ffmpeg4-libswscale-4.4.6-r0.apk2026-01-04 12:24 150K 
[   ]perl-catalyst-runtime-5.90132-r0.apk2025-03-26 22:51 150K 
[   ]boost1.89-program_options-1.89.0-r1.apk2026-01-18 09:42 150K 
[   ]makedumpfile-1.7.8-r0.apk2025-11-06 01:24 151K 
[   ]php81-pecl-xdebug-3.5.0-r0.apk2025-12-05 09:56 151K 
[   ]vit-pyc-2.3.2-r1.apk2024-10-26 06:11 151K 
[   ]php82-pecl-xdebug-3.5.0-r0.apk2025-12-05 09:56 152K 
[   ]libbamf-0.5.6-r1.apk2024-10-26 06:09 153K 
[   ]cscope-15.9-r1.apk2024-10-26 06:08 153K 
[   ]lumina-desktop-fileinfo-1.6.2-r0.apk2024-10-26 06:09 153K 
[   ]apostrophe-pyc-3.4-r0.apk2025-09-30 20:36 153K 
[   ]libemf2svg-1.1.0-r3.apk2025-10-10 04:38 154K 
[   ]apostrophe-3.4-r0.apk2025-09-30 20:36 154K 
[   ]pidif-0.1-r1.apk2024-10-26 06:10 154K 
[   ]py3-caldav-pyc-2.2.3-r0.apk2025-12-08 06:13 154K 
[   ]pithos-pyc-1.6.1-r0.apk2024-10-26 06:10 154K 
[   ]py3-rst2pdf-0.102-r0.apk2025-06-11 06:57 154K 
[   ]heisenbridge-pyc-1.15.4-r0.apk2025-10-10 04:38 155K 
[   ]py3-minio-pyc-7.2.18-r0.apk2025-11-10 14:17 155K 
[   ]py3-cssutils-2.11.1-r1.apk2024-10-26 06:10 155K 
[   ]convert2json-rsv-json-2.4.1-r0.apk2025-12-16 03:18 155K 
[   ]py3-moviepy-pyc-1.0.3-r6.apk2024-10-26 06:10 156K 
[   ]thefuck-pyc-3.32-r5.apk2024-10-26 06:11 156K 
[   ]hamster-time-tracker-3.0.3-r2.apk2024-10-26 06:09 156K 
[   ]py3-simplesat-pyc-0.8.2-r0.apk2024-10-26 06:10 157K 
[   ]wok-3.0.0-r6.apk2024-10-26 06:11 157K 
[   ]nb-7.24.0-r0.apk2026-01-22 04:04 157K 
[   ]mimedefang-3.6-r1.apk2025-10-10 04:38 158K 
[   ]click-0.5.2-r4.apk2025-02-18 02:07 158K 
[   ]libzrtpcpp-4.7.0-r0.apk2025-01-05 08:55 158K 
[   ]ttfautohint-dev-1.8.4-r0.apk2024-10-26 06:11 159K 
[   ]boost1.89-graph-1.89.0-r1.apk2026-01-18 09:42 159K 
[   ]lumina-desktop-archiver-1.6.2-r0.apk2024-10-26 06:09 159K 
[   ]lumina-desktop-screenshot-1.6.2-r0.apk2024-10-26 06:09 160K 
[   ]malcontent-0.13.1-r0.apk2025-09-04 05:27 160K 
[   ]py3-duckdb-pyc-1.4.3-r0.apk2026-01-08 13:33 160K 
[   ]iotas-lang-0.12.5-r0.apk2025-11-19 07:54 160K 
[   ]liblastfm-qt-1.1.10_git20190823-r3.apk2024-10-26 06:09 160K 
[   ]haredo-1.0.5-r1.apk2024-11-29 03:16 160K 
[   ]wk-adblock-0.0.4-r5.apk2024-10-26 06:11 160K 
[   ]kodi-game-libretro-frodo-0.0.1.25-r0.apk2024-10-26 06:09 160K 
[   ]himitsu-totp-0.9-r0.apk2025-09-07 03:20 160K 
[   ]primecount-libs-7.20-r0.apk2025-11-26 06:07 161K 
[   ]py3-freetype-py-2.5.1-r0.apk2024-10-26 06:10 161K 
[   ]libretro-frodo-0_git20221221-r0.apk2024-10-26 06:09 162K 
[   ]olsrd-0.9.8-r3.apk2024-10-26 06:10 163K 
[   ]py3-ovos-classifiers-pyc-0.0.0_alpha53-r0.apk2024-10-26 06:10 164K 
[   ]fava-pyc-1.28-r0.apk2024-10-26 06:09 164K 
[   ]gearmand-1.1.22-r0.apk2025-09-07 03:20 164K 
[   ]trace-cmd-3.3.1-r1.apk2025-01-26 17:27 164K 
[   ]soundconverter-4.1.1-r0.apk2025-07-30 00:12 165K 
[   ]srain-1.8.1-r0.apk2025-06-27 06:59 165K 
[   ]convert2json-rsv-jaq-2.4.1-r0.apk2025-12-16 03:18 165K 
[   ]sydbox-doc-3.45.2-r0.apk2025-12-03 13:47 165K 
[   ]py3-ovos-workshop-pyc-7.0.6-r0.apk2025-07-16 06:30 165K 
[   ]proverif-examples-2.05-r1.apk2026-01-12 09:39 166K 
[   ]burp-3.2.0-r0.apk2026-01-18 13:41 166K 
[   ]zpaq-7.15-r0.apk2025-10-10 04:38 166K 
[   ]mame-plugins-0.251-r0.apk2024-10-26 06:10 166K 
[   ]ustr-static-1.0.4-r1.apk2024-10-26 06:11 166K 
[   ]catfish-lang-4.20.1-r0.apk2025-07-08 06:19 167K 
[   ]py3-html5-parser-0.4.12-r1.apk2024-10-26 06:10 167K 
[   ]py3-ovos-plugin-manager-pyc-1.0.3-r0.apk2025-07-16 06:34 167K 
[   ]lcalc-2.1.0-r0.apk2025-05-04 07:03 167K 
[   ]libstirshaken-tools-0_git20240208-r4.apk2025-05-04 07:03 167K 
[   ]kdiskmark-3.2.0-r0.apk2025-07-01 03:46 168K 
[   ]py3-cryptg-0.5.0-r0.apk2025-05-08 23:22 168K 
[   ]libretro-mu-0_git20220317-r0.apk2024-10-26 06:09 168K 
[   ]py3-pdoc-pyc-15.0.4-r0.apk2025-10-13 08:19 169K 
[   ]ptpd-2.3.1-r1.apk2024-10-26 06:10 170K 
[   ]wolfssh-dev-1.4.17-r2.apk2026-01-04 10:39 170K 
[   ]lomiri-ui-toolkit-dev-1.3.5902-r0.apk2026-01-27 00:57 170K 
[   ]boost1.89-json-1.89.0-r1.apk2026-01-18 09:42 171K 
[   ]trace-cmd-doc-3.3.1-r1.apk2025-01-26 17:27 171K 
[   ]libretro-opera-0_git20211214-r0.apk2024-10-26 06:09 172K 
[   ]gnome-mahjongg-lang-49.1.1-r0.apk2026-01-28 04:03 172K 
[   ]kimchi-lang-3.0.0-r8.apk2025-02-13 04:33 172K 
[   ]py3-apt-3.1.0-r0.apk2025-12-21 14:05 172K 
[   ]py3-flask-peewee-3.0.6-r0.apk2024-10-26 06:10 172K 
[   ]linuxwave-0.3.0-r0.apk2025-05-27 05:36 172K 
[   ]commoncpp-dev-7.0.1-r1.apk2024-10-26 06:08 173K 
[   ]liboggz-dev-1.1.1-r2.apk2024-10-26 06:09 173K 
[   ]zvbi-0.2.44-r0.apk2025-03-12 09:54 173K 
[   ]py3-langcodes-3.3.0-r2.apk2024-10-26 06:10 174K 
[   ]qqc2-suru-style-0.20230206-r1.apk2024-10-26 06:10 174K 
[   ]perl-extutils-makemaker-7.76-r0.apk2025-08-17 01:22 174K 
[   ]click-pyc-0.5.2-r4.apk2025-02-18 02:07 175K 
[   ]u-boot-s5p6818-1.2-r0.apk2024-10-26 06:11 175K 
[   ]perl-ffi-platypus-2.11-r0.apk2026-01-13 18:10 175K 
[   ]mongo-cxx-driver-3.8.0-r0.apk2024-10-26 06:10 176K 
[   ]convert2json-ini-json-2.4.1-r0.apk2025-12-16 03:18 177K 
[   ]sponskrub-3.7.2-r9.apk2025-06-07 19:57 177K 
[   ]py3-tlslite-ng-0.7.6-r8.apk2024-10-26 06:10 179K 
[   ]subliminal-pyc-2.4.0-r0.apk2025-11-24 11:46 179K 
[   ]py3-pdal-3.5.3-r0.apk2026-01-20 03:04 179K 
[   ]py3-apicula-pyc-0.11.1-r1.apk2024-10-26 06:10 179K 
[   ]libsimplebluez-0.10.4-r0.apk2026-01-13 14:12 179K 
[   ]pympress-1.8.6-r0.apk2025-11-10 16:33 180K 
[   ]onionshare-2.6.3-r1.apk2025-11-17 10:39 180K 
[   ]lomiri-filemanager-app-lang-1.1.4-r0.apk2025-08-20 07:08 180K 
[   ]py3-libguestfs-1.56.1-r0.apk2025-07-23 15:04 180K 
[   ]sedutil-1.15.1-r1.apk2024-10-26 06:10 180K 
[   ]kodi-game-libretro-fbneo-1.0.0.71-r0.apk2024-10-26 06:09 180K 
[   ]py3-qpageview-pyc-0.6.2-r1.apk2024-10-26 06:10 180K 
[   ]linkchecker-10.6.0-r0.apk2025-08-10 22:38 181K 
[   ]moosefs-chunkserver-4.56.6-r2.apk2025-06-19 19:44 181K 
[   ]aravis-libs-0.8.31-r0.apk2024-10-26 06:08 182K 
[   ]ace-of-penguins-1.4-r3.apk2024-10-26 06:08 182K 
[   ]pympress-pyc-1.8.6-r0.apk2025-11-10 16:33 182K 
[   ]opendht-3.1.11-r0.apk2025-01-28 07:56 182K 
[   ]php81-pecl-redis-6.3.0-r0.apk2025-11-08 03:49 182K 
[   ]hiawatha-11.6-r1.apk2025-05-27 22:07 183K 
[   ]php82-pecl-redis-6.3.0-r0.apk2025-11-08 03:49 184K 
[   ]py3-confluent-kafka-2.9.0-r0.apk2025-05-15 21:05 184K 
[   ]libcec-rpi-6.0.2-r4.apk2024-10-26 06:09 184K 
[   ]py3-netifaces2-0.0.22-r0.apk2024-10-26 06:10 184K 
[   ]vera++-1.3.0-r11.apk2025-10-13 01:25 185K 
[   ]py3-xsdata-26.1-r0.apk2026-01-20 22:16 185K 
[   ]whipper-pyc-0.10.0-r5.apk2024-10-26 06:11 185K 
[   ]lumina-desktop-textedit-1.6.2-r0.apk2024-10-26 06:09 185K 
[   ]convert2json-ini-jaq-2.4.1-r0.apk2025-12-16 03:18 185K 
[   ]libretro-gme-0_git20240628-r0.apk2024-10-26 06:09 186K 
[   ]py3-asyauth-pyc-0.0.23-r0.apk2025-11-03 17:49 186K 
[   ]libxo-1.7.5-r0.apk2025-01-13 09:45 186K 
[   ]guake-pyc-3.10.1-r0.apk2025-11-25 05:07 186K 
[   ]py3-ly-0.9.9-r0.apk2025-07-13 08:56 187K 
[   ]libretro-gw-0_git20220410-r0.apk2024-10-26 06:09 187K 
[   ]anari-sdk-static-0.7.2-r0.apk2024-10-26 06:08 187K 
[   ]dodo-0_git20250926-r0.apk2025-09-28 08:59 188K 
[   ]way-secure-0.2.0-r0.apk2025-04-19 09:10 189K 
[   ]gearmand-doc-1.1.22-r0.apk2025-09-07 03:20 189K 
[   ]nim-cligen-1.9.5-r0.apk2026-01-25 00:35 189K 
[   ]php81-pecl-timezonedb-2025.2-r0.apk2025-03-27 22:06 189K 
[   ]php82-pecl-timezonedb-2025.2-r0.apk2025-10-24 13:09 189K 
[   ]endeavour-43.0-r2.apk2024-12-09 08:43 189K 
[   ]sublime-music-0.12.0-r1.apk2024-10-26 06:10 190K 
[   ]dnssec-tools-dev-2.2.3-r13.apk2025-06-30 18:25 190K 
[   ]convert2json-xml-json-2.4.1-r0.apk2025-12-16 03:18 190K 
[   ]nitro-dev-2.7_beta8-r2.apk2024-10-26 06:10 190K 
[   ]py3-edalize-pyc-0.5.4-r0.apk2024-10-26 06:10 190K 
[   ]66-doc-0.8.2.1-r0.apk2025-06-04 06:11 190K 
[   ]corosync-doc-3.1.10-r0.apk2025-12-27 21:37 191K 
[   ]convert2json-messagepack-json-2.4.1-r0.apk2025-12-16 03:18 191K 
[   ]py3-avro-pyc-1.11.3-r1.apk2024-10-26 06:10 191K 
[   ]buildbot-worker-4.3.0-r1.apk2026-01-24 02:51 191K 
[   ]py3-m2crypto-0.41.0-r2.apk2024-10-26 06:10 191K 
[   ]plplot-libs-5.15.0-r2.apk2024-10-26 06:10 192K 
[   ]py3-netmiko-4.6.0-r0.apk2025-08-14 02:31 192K 
[   ]pyinfra-3.3.1-r0.apk2025-07-16 19:48 192K 
[   ]lumina-desktop-mediaplayer-1.6.2-r0.apk2024-10-26 06:09 192K 
[   ]qt6ct-0.11-r2.apk2025-12-05 05:50 192K 
[   ]convert2json-cbor-json-2.4.1-r0.apk2025-12-16 03:18 194K 
[   ]mjpg-streamer-0_git20210220-r2.apk2025-05-15 02:03 194K 
[   ]android-file-transfer-4.5-r0.apk2025-06-25 10:02 194K 
[   ]guake-lang-3.10.1-r0.apk2025-11-25 05:07 194K 
[   ]spread-sheet-widget-dbg-0.10-r0.apk2024-10-26 06:10 194K 
[   ]perl-sys-virt-11.10.0-r0.apk2025-12-04 03:05 195K 
[   ]glfw-wayland-dbg-3.3.8-r3.apk2024-10-26 06:09 195K 
[   ]gingerbase-2.3.0-r7.apk2024-10-26 06:09 195K 
[   ]py3-owslib-0.35.0-r0.apk2025-11-03 06:15 195K 
[   ]mimalloc1-debug-1.9.4-r0.apk2025-11-06 01:28 196K 
[   ]libettercap-0.8.3.1-r3.apk2024-10-26 06:09 197K 
[   ]linux-apfs-rw-src-0.3.8-r0.apk2024-10-26 06:09 197K 
[   ]minigalaxy-1.4.0-r0.apk2025-07-12 17:30 197K 
[   ]libvmaf-dev-3.0.0-r0.apk2024-10-26 06:09 197K 
[   ]olsrd-plugins-0.9.8-r3.apk2024-10-26 06:10 198K 
[   ]convert2json-xml-jaq-2.4.1-r0.apk2025-12-16 03:18 198K 
[   ]petitboot-1.15-r1.apk2026-01-15 15:57 199K 
[   ]font-tinos-0_git20210228-r0.apk2024-10-26 06:09 199K 
[   ]boinc-libs-7.24.3-r0.apk2024-10-26 06:08 199K 
[   ]convert2json-messagepack-jaq-2.4.1-r0.apk2025-12-16 03:18 199K 
[   ]lomiri-indicator-network-lang-1.1.2-r0.apk2025-09-08 12:00 199K 
[   ]py3-slidge-style-parser-0.1.9-r0.apk2025-04-14 07:28 201K 
[   ]remake-doc-1.5-r1.apk2024-10-26 06:10 202K 
[   ]convert2json-cbor-jaq-2.4.1-r0.apk2025-12-16 03:18 202K 
[   ]ssh-studio-1.3.1-r0.apk2025-10-13 07:35 202K 
[   ]amber-mpris-1.2.9-r0.apk2024-12-23 03:48 203K 
[   ]endeavour-lang-43.0-r2.apk2024-12-09 08:43 203K 
[   ]horizon-0.9.6-r9.apk2024-10-26 06:09 204K 
[   ]csfml-doc-2.5.2-r0.apk2024-10-26 06:08 204K 
[   ]telegram-tdlib-dev-1.8.60-r0.apk2026-01-16 04:18 204K 
[   ]belcard-libs-5.3.105-r0.apk2025-02-25 23:48 204K 
[   ]mrsh-dbg-0_git20210518-r1.apk2024-10-26 06:10 205K 
[   ]draco-dev-1.5.7-r2.apk2025-02-18 02:07 205K 
[   ]font-fontawesome-4-4.7.0-r3.apk2024-10-26 06:09 205K 
[   ]hamster-time-tracker-lang-3.0.3-r2.apk2024-10-26 06:09 206K 
[   ]mint-y-theme-xfwm4-2.3.7-r1.apk2026-01-25 01:18 206K 
[   ]py3-rst2pdf-pyc-0.102-r0.apk2025-06-11 06:57 206K 
[   ]mspdebug-0.25-r1.apk2024-10-26 06:10 206K 
[   ]himitsu-firefox-0.6-r1.apk2024-11-29 03:16 206K 
[   ]ginger-pyc-2.4.0-r7.apk2024-10-26 06:09 207K 
[   ]py3-litex-hub-pythondata-cpu-marocchino-2024.04-r0.apk2024-10-26 06:10 208K 
[   ]hfst-dev-3.16.2-r1.apk2026-01-14 15:08 209K 
[   ]jotdown-0.7.0-r0.apk2025-03-12 09:54 209K 
[   ]hdf4-tools-4.2.15-r2.apk2024-10-26 06:09 211K 
[   ]resources-lang-1.9.1-r1.apk2026-01-28 22:00 211K 
[   ]convert2json-csv-json-2.4.1-r0.apk2025-12-16 03:18 211K 
[   ]libcob4-3.2-r0.apk2025-07-29 01:02 211K 
[   ]zydis-4.1.0-r0.apk2024-10-26 06:11 213K 
[   ]py3-simplesat-0.8.2-r0.apk2024-10-26 06:10 214K 
[   ]lomiri-weather-app-lang-6.0.2-r0.apk2025-03-11 23:02 214K 
[   ]lomiri-thumbnailer-3.1.0-r0.apk2025-12-09 22:10 216K 
[   ]py3-dateparser-1.2.2-r0.apk2025-07-07 06:46 216K 
[   ]perl-catalyst-runtime-doc-5.90132-r0.apk2025-03-26 22:51 216K 
[   ]lcalc-libs-2.1.0-r0.apk2025-05-04 07:03 217K 
[   ]lomiri-libusermetrics-1.4.0-r0.apk2025-12-09 22:10 217K 
[   ]kodi-peripheral-joystick-20.1.9-r0.apk2024-10-26 06:09 217K 
[   ]ruby-facter-4.10.0-r0.apk2025-07-28 13:04 218K 
[   ]trantor-1.5.18-r0.apk2024-10-26 06:11 218K 
[   ]java-jtharness-examples-6.0_p12-r0.apk2024-10-26 06:09 219K 
[   ]snapper-lang-0.13.0-r0.apk2025-09-17 05:45 219K 
[   ]cz-viator-hourglass-black-20210706-r0.apk2024-10-26 06:08 219K 
[   ]py3-spnego-pyc-0.11.2-r0.apk2025-01-16 18:52 219K 
[   ]libzvbi-0.2.44-r0.apk2025-03-12 09:54 219K 
[   ]purple-hangouts-0_git20200422-r0.apk2024-10-26 06:10 219K 
[   ]gtimelog-0.12_git20251114-r0.apk2025-11-19 07:54 219K 
[   ]pigpio-79-r4.apk2024-10-26 06:10 220K 
[   ]nuklear-4.12.0-r0.apk2024-10-26 06:10 220K 
[   ]convert2json-csv-jaq-2.4.1-r0.apk2025-12-16 03:18 220K 
[   ]php82-pecl-swoole-dev-6.1.6-r0.apk2025-12-29 07:30 220K 
[   ]php81-pecl-swoole-dev-6.1.6-r0.apk2025-12-29 07:30 220K 
[   ]syncthing-gtk-pyc-0.9.4.5-r2.apk2024-10-26 06:10 221K 
[   ]apache-mod-auth-openidc-2.4.16.11-r1.apk2025-05-22 17:53 221K 
[   ]py3-duniterpy-1.1.1-r3.apk2024-10-26 06:10 221K 
[   ]py3-litex-hub-pythondata-cpu-mor1kx-2024.04-r0.apk2024-10-26 06:10 221K 
[   ]php82-pecl-xlswriter-1.5.8-r0.apk2025-10-24 13:09 221K 
[   ]php81-pecl-xlswriter-1.5.8-r0.apk2024-11-11 12:44 222K 
[   ]lomiri-settings-components-1.1.3-r0.apk2025-10-26 07:19 222K 
[   ]py3-cmd2-pyc-2.4.3-r2.apk2024-10-26 06:10 223K 
[   ]gmic-doc-3.6.0-r2.apk2026-01-04 03:39 223K 
[   ]lomiri-clock-app-4.1.1-r0.apk2025-05-10 04:27 223K 
[   ]shadowsocks-libev-3.3.5-r4.apk2024-10-26 06:10 224K 
[   ]aufs-util-20161219-r3.apk2024-10-26 06:08 224K 
[   ]warpinator-2.0.3-r0.apk2026-01-19 06:12 225K 
[   ]iotas-0.12.5-r0.apk2025-11-19 07:54 225K 
[   ]qt5ct-1.9-r0.apk2025-11-19 15:35 225K 
[   ]gmid-2.1.1-r1.apk2026-01-13 04:29 226K 
[   ]convert2json-bson-json-2.4.1-r0.apk2025-12-16 03:18 227K 
[   ]py3-confluent-kafka-pyc-2.9.0-r0.apk2025-05-15 21:05 227K 
[   ]apostrophe-lang-3.4-r0.apk2025-09-30 20:36 227K 
[   ]py3-github3-pyc-4.0.1-r1.apk2024-10-26 06:10 227K 
[   ]py3-flask-security-pyc-5.6.2-r0.apk2025-11-10 14:16 227K 
[   ]py3-b2sdk-2.10.2-r0.apk2025-12-17 05:41 227K 
[   ]lomiri-libusermetrics-doc-1.4.0-r0.apk2025-12-09 22:10 228K 
[   ]convert2json-toml-json-2.4.1-r0.apk2025-12-16 03:18 228K 
[   ]getting-things-gnome-lang-0.6-r4.apk2024-12-09 08:43 229K 
[   ]pdal-python-plugins-1.6.5-r0.apk2025-06-22 08:42 229K 
[   ]py3-imdbpy-2021.4.18-r5.apk2024-10-26 06:10 229K 
[   ]lomiri-docviewer-app-3.1.2-r0.apk2025-08-20 07:08 229K 
[   ]scap-workbench-1.2.1-r3.apk2024-10-26 06:10 229K 
[   ]gl2ps-doc-1.4.2-r0.apk2025-10-13 08:32 230K 
[   ]tup-0.7.11-r1.apk2025-06-19 19:44 230K 
[   ]py3-litex-hub-pythondata-cpu-picorv32-2024.04-r0.apk2024-10-26 06:10 230K 
[   ]icingaweb2-module-fileshipper-doc-1.2.0-r3.apk2024-10-26 06:09 231K 
[   ]convert2json-plist-json-2.4.1-r0.apk2025-12-16 03:18 232K 
[   ]par2cmdline-turbo-1.3.0-r0.apk2025-05-08 23:24 232K 
[   ]yaru-shell-25.10.3-r0.apk2026-01-06 16:46 232K 
[   ]chocolate-doom-doc-3.1.1-r0.apk2025-08-19 12:30 233K 
[   ]vimv-3.1.0-r0.apk2025-10-13 08:19 234K 
[   ]py3-pelican-4.9.1-r2.apk2024-10-26 06:10 234K 
[   ]vmlinux.h-6.18-r0.apk2025-12-17 09:34 234K 
[   ]edit-1.2.1-r0.apk2025-10-17 00:17 234K 
[   ]river-luatile-0.1.4-r0.apk2025-05-19 23:47 234K 
[   ]convert2json-bson-jaq-2.4.1-r0.apk2025-12-16 03:18 235K 
[   ]py3-tpm2-pytss-pyc-2.3.0-r1.apk2024-10-26 06:10 236K 
[   ]sigma-0.23.1-r1.apk2024-10-26 06:10 237K 
[   ]convert2json-toml-jaq-2.4.1-r0.apk2025-12-16 03:18 237K 
[   ]libretro-cannonball-0_git20220309-r6.apk2024-10-26 06:09 238K 
[   ]py3-pynest2d-5.2.2-r5.apk2025-02-06 15:44 240K 
[   ]turntable-0.5.1-r0.apk2026-01-08 13:33 240K 
[   ]convert2json-plist-jaq-2.4.1-r0.apk2025-12-16 03:18 240K 
[   ]solanum-6.0.0-r0.apk2025-04-11 00:04 240K 
[   ]budgie-screensaver-lang-5.1.0-r0.apk2025-10-17 04:07 240K 
[   ]onionshare-pyc-2.6.3-r1.apk2025-11-17 10:39 240K 
[   ]kodi-game-libretro-atari800-3.1.0.28-r0.apk2024-10-26 06:09 241K 
[   ]devil-1.8.0-r0.apk2024-10-26 06:08 241K 
[   ]objconv-2.52_git20210213-r2.apk2024-10-26 06:10 242K 
[   ]py3-imdbpy-pyc-2021.4.18-r5.apk2024-10-26 06:10 243K 
[   ]bazaar-lang-0.7.2-r0.apk2026-01-05 01:48 243K 
[   ]py3-okonomiyaki-pyc-2.0.0-r1.apk2025-10-15 05:56 243K 
[   ]py3-ansible-pylibssh-1.2.2-r0.apk2025-07-23 15:04 243K 
[   ]libgivaro-dev-4.2.0-r2.apk2024-10-26 06:09 244K 
[   ]py3-kazoo-pyc-0_git20211202-r4.apk2024-10-26 06:10 245K 
[   ]swayhide-0.2.1-r2.apk2024-10-26 06:10 245K 
[   ]postgresql-pgmq-1.1.1-r1.apk2024-10-26 06:10 245K 
[   ]libopensles-standalone-dbg-0_git20250913-r0.apk2025-09-23 00:30 246K 
[   ]magpie-dev-0.9.4-r0.apk2025-10-17 04:07 246K 
[   ]hdf4-4.2.15-r2.apk2024-10-26 06:09 246K 
[   ]hatch-pyc-1.16.1-r0.apk2025-12-01 07:01 246K 
[   ]gperftools-doc-2.17-r0.apk2025-08-06 00:00 247K 
[   ]mkdocs-rtd-dropdown-1.0.2-r5.apk2024-10-26 06:10 248K 
[   ]mkdocs-cinder-1.2.0-r5.apk2024-10-26 06:10 249K 
[   ]font-comic-neue-2.51-r0.apk2024-10-26 06:09 249K 
[   ]timew-1.4.3-r1.apk2024-10-26 06:11 249K 
[   ]linphone-dev-5.3.38-r0.apk2024-10-26 06:09 250K 
[   ]opmsg-1.84-r1.apk2024-10-26 06:10 250K 
[   ]font-commit-mono-1.143-r0.apk2024-10-26 06:09 251K 
[   ]py3-scrapy-2.13.4-r0.apk2026-01-06 09:29 252K 
[   ]gst-audio-thumbnailer-1.0_alpha3-r0.apk2026-01-15 22:33 252K 
[   ]perl-badger-0.16-r1.apk2025-11-12 10:13 253K 
[   ]speedtest-5.2.5-r1.apk2024-10-26 06:10 253K 
[   ]linkchecker-pyc-10.6.0-r0.apk2025-08-10 22:38 254K 
[   ]lomiri-ui-extras-0.8.0-r0.apk2025-12-09 22:10 254K 
[   ]convert2json-yaml-json-2.4.1-r0.apk2025-12-16 03:18 255K 
[   ]avra-dev-1.4.2-r0.apk2024-10-26 06:08 255K 
[   ]moosefs-4.56.6-r2.apk2025-06-19 19:44 255K 
[   ]toybox-0.8.13-r0.apk2025-10-16 21:30 256K 
[   ]ginger-2.4.0-r7.apk2024-10-26 06:09 257K 
[   ]oblibs-dev-0.3.4.0-r0.apk2025-06-02 02:51 258K 
[   ]dcmtk-doc-3.7.0-r0.apk2025-12-29 07:54 258K 
[   ]appcenter-lang-8.0.0-r0.apk2024-11-13 08:56 258K 
[   ]advancescan-1.18-r1.apk2024-10-26 06:08 260K 
[   ]mkdocs-bootstrap4-0.1.5-r5.apk2024-10-26 06:10 260K 
[   ]platformio-core-6.1.7-r3.apk2024-10-26 06:10 263K 
[   ]perl-badger-doc-0.16-r1.apk2025-11-12 10:13 263K 
[   ]convert2json-yaml-jaq-2.4.1-r0.apk2025-12-16 03:18 263K 
[   ]timewarrior-1.7.1-r0.apk2024-10-26 06:11 263K 
[   ]ovn-doc-25.09.2-r0.apk2025-12-15 09:05 264K 
[   ]py3-tpm2-pytss-2.3.0-r1.apk2024-10-26 06:10 264K 
[   ]biboumi-9.0-r9.apk2025-10-10 04:37 264K 
[   ]font-anonymous-pro-1.002-r2.apk2024-10-26 06:09 264K 
[   ]tmate-2.4.0-r4.apk2024-10-26 06:11 265K 
[   ]gst-video-thumbnailer-1.0_alpha3-r0.apk2026-01-15 22:33 266K 
[   ]irccd-4.0.3-r0.apk2024-10-26 06:09 266K 
[   ]cpu-x-lang-5.2.0-r1.apk2025-05-06 09:11 266K 
[   ]pam-pkcs11-0.6.13-r1.apk2025-11-18 00:13 266K 
[   ]restinio-dev-0.6.19-r1.apk2024-12-15 06:38 268K 
[   ]nwipe-0.39-r0.apk2025-12-05 13:01 268K 
[   ]mediascanner2-0.118-r4.apk2025-11-18 00:13 268K 
[   ]snapraid-13.0-r0.apk2025-11-01 08:52 268K 
[   ]libretro-atari800-0_git20240924-r0.apk2024-10-26 06:09 268K 
[   ]lomiri-lang-0.5.0-r4.apk2025-12-19 01:26 269K 
[   ]xtensor-0.27.0-r0.apk2025-08-25 17:40 270K 
[   ]nwg-panel-pyc-0.10.13-r0.apk2025-11-29 00:29 270K 
[   ]warpinator-lang-2.0.3-r0.apk2026-01-19 06:12 273K 
[   ]py3-anyascii-0.3.2-r1.apk2024-10-26 06:10 275K 
[   ]py3-tlslite-ng-pyc-0.7.6-r8.apk2024-10-26 06:10 275K 
[   ]lomiri-content-hub-2.2.2-r0.apk2026-01-26 08:15 275K 
[   ]lynis-3.1.4-r0.apk2025-07-29 19:08 276K 
[   ]gaupol-1.12-r2.apk2024-10-26 06:09 276K 
[   ]bees-0.10-r2.apk2024-10-26 06:08 276K 
[   ]gaupol-lang-1.12-r2.apk2024-10-26 06:09 277K 
[   ]masky-0.2.0-r2.apk2025-05-29 23:00 277K 
[   ]yosys-dev-0.57-r0.apk2025-10-25 08:11 277K 
[   ]libretro-crocods-0_git20210314-r1.apk2024-10-26 06:09 278K 
[   ]py3-cssutils-pyc-2.11.1-r1.apk2024-10-26 06:10 279K 
[   ]commoncpp-7.0.1-r1.apk2024-10-26 06:08 280K 
[   ]ffmpeg4-dev-4.4.6-r0.apk2026-01-04 12:24 281K 
[   ]postgresql-pgq-3.5.1-r0.apk2026-01-29 04:46 281K 
[   ]vectoroids-1.1.0-r2.apk2024-10-26 06:11 281K 
[   ]guestfs-tools-1.56.1-r0.apk2025-07-23 15:04 281K 
[   ]font-intel-one-mono-1.3.0-r0.apk2024-10-26 06:09 281K 
[   ]amule-doc-2.3.3-r13.apk2024-10-26 06:08 281K 
[   ]mkosi-26-r1.apk2025-12-28 04:17 283K 
[   ]tree-sitter-haskell-0.23.1-r0.apk2025-01-10 03:04 284K 
[   ]komikku-lang-1.85.0-r0.apk2025-08-22 19:18 284K 
[   ]nomadnet-pyc-0.8.0-r0.apk2025-09-24 08:13 285K 
[   ]hex-0.6.0-r0.apk2024-10-26 06:09 285K 
[   ]gpscorrelate-doc-2.3-r0.apk2025-03-27 17:36 285K 
[   ]py3-imageio-2.37.0-r0.apk2025-07-12 17:30 285K 
[   ]libqofono-qt5-0.124-r0.apk2025-01-11 03:19 286K 
[   ]py3-cassandra-driver-3.29.2-r0.apk2024-10-26 06:10 286K 
[   ]ffmpeg4-libavutil-4.4.6-r0.apk2026-01-04 12:24 286K 
[   ]perl-imager-doc-1.028-r1.apk2025-06-30 18:25 286K 
[   ]anari-sdk-0.7.2-r0.apk2024-10-26 06:08 287K 
[   ]py3-minikerberos-pyc-0.4.9-r0.apk2025-11-03 17:49 287K 
[   ]phoronix-test-suite-doc-10.8.4-r2.apk2024-10-26 06:10 287K 
[   ]nwg-panel-0.10.13-r0.apk2025-11-29 00:29 288K 
[   ]sfwbar-1.0_beta161-r0.apk2025-08-01 00:29 288K 
[   ]libzvbi-static-0.2.44-r0.apk2025-03-12 09:54 289K 
[   ]ngs-0.2.14-r0.apk2024-10-26 06:10 290K 
[   ]waylevel-1.0.0-r1.apk2024-10-26 06:11 290K 
[   ]uasm-2.56.2-r0.apk2024-10-26 06:11 291K 
[   ]emacs-ement-0.16-r0.apk2025-05-04 07:02 291K 
[   ]libtins-4.5-r2.apk2025-10-15 19:21 291K 
[   ]hardinfo2-lang-2.2.13-r0.apk2025-09-28 22:21 292K 
[   ]bash-pinyin-completion-rs-1.0.4-r0.apk2026-01-21 01:21 292K 
[   ]py3-python-stdnum-pyc-1.20-r0.apk2024-10-26 06:10 293K 
[   ]trigger-rally-0.6.7-r3.apk2025-03-26 22:51 294K 
[   ]libre-4.4.0-r0.apk2026-01-24 23:59 295K 
[   ]corosync-3.1.10-r0.apk2025-12-27 21:37 295K 
[   ]py3-migen-pyc-0.9.2-r2.apk2024-10-26 06:10 296K 
[   ]py3-flask-security-5.6.2-r0.apk2025-11-10 14:16 297K 
[   ]boost1.89-unit_test_framework-1.89.0-r1.apk2026-01-18 09:42 297K 
[   ]percona-toolkit-doc-3.5.4-r1.apk2024-10-26 06:10 298K 
[   ]libretro-nxengine-0_git20220301-r0.apk2024-10-26 06:09 298K 
[   ]kodi-pvr-hts-21.2.6-r0.apk2025-03-03 03:15 299K 
[   ]libretro-cap32-0_git20220419-r0.apk2024-10-26 06:09 299K 
[   ]pypy3-tkinter-7.3.19-r0.apk2025-11-02 02:11 300K 
[   ]lomiri-weather-app-6.0.2-r0.apk2025-03-11 23:02 300K 
[   ]66-0.8.2.1-r0.apk2025-06-04 06:11 301K 
[   ]boost1.89-locale-1.89.0-r1.apk2026-01-18 09:42 301K 
[   ]sublime-music-pyc-0.12.0-r1.apk2024-10-26 06:10 302K 
[   ]welle-cli-2.7-r0.apk2025-04-08 05:40 302K 
[   ]boost1.89-log-1.89.0-r1.apk2026-01-18 09:42 304K 
[   ]apache2-mod-perl-doc-2.0.13-r2.apk2025-06-30 18:25 304K 
[   ]guake-3.10.1-r0.apk2025-11-25 05:07 304K 
[   ]litehtml-0.9-r2.apk2025-02-18 02:07 305K 
[   ]perl-libintl-perl-1.35-r0.apk2025-01-17 00:35 305K 
[   ]botan2-doc-2.19.5-r0.apk2025-05-29 21:14 306K 
[   ]budgie-session-lang-0.9.1-r0.apk2025-10-17 04:07 307K 
[   ]habitctl-0.1.0-r2.apk2024-10-26 06:09 307K 
[   ]helvum-0.5.1-r0.apk2024-10-26 06:09 307K 
[   ]fileshelter-6.2.0-r4.apk2025-09-28 09:22 308K 
[   ]csmith-2.3.0-r2.apk2024-10-26 06:08 309K 
[   ]pw-volume-0.5.0-r1.apk2024-10-26 06:10 309K 
[   ]biometryd-0.3.3-r0.apk2025-12-09 22:10 309K 
[   ]gstreamermm-dev-1.10.0-r6.apk2025-02-18 02:07 310K 
[   ]lizardfs-chunkserver-3.13.0-r17.apk2025-06-19 19:44 310K 
[   ]plplot-doc-5.15.0-r2.apk2024-10-26 06:10 311K 
[   ]cluster-glue-1.0.12-r5.apk2024-10-26 06:08 311K 
[   ]botan2-dev-2.19.5-r0.apk2025-05-29 21:14 311K 
[   ]py3-iso639-lang-2.6.3-r0.apk2025-12-05 05:50 311K 
[   ]minisatip-1.3.4-r0.apk2024-10-26 06:10 312K 
[   ]py3-xdoctest-1.2.0-r0.apk2024-11-22 00:31 312K 
[   ]xgalaga-2.1.1.0-r1.apk2024-10-26 06:11 313K 
[   ]xdg-desktop-portal-hyprland-1.3.11-r0.apk2025-12-14 21:58 313K 
[   ]libguestfs-1.56.1-r0.apk2025-07-23 15:04 314K 
[   ]virtualgl-doc-3.1.4-r0.apk2025-10-10 04:38 315K 
[   ]apache-mod-auth-openidc-static-2.4.16.11-r1.apk2025-05-22 17:53 315K 
[   ]libretro-beetle-pcfx-0_git20220409-r0.apk2024-10-26 06:09 315K 
[   ]font-fantasque-sans-noloopk-1.8.0-r0.apk2024-10-26 06:09 316K 
[   ]font-fantasque-sans-normal-1.8.0-r0.apk2024-10-26 06:09 316K 
[   ]font-fantasque-sans-largelineheight-1.8.0-r0.apk2024-10-26 06:09 316K 
[   ]font-fantasque-sans-largelineheightnoloopk-1.8.0-r0.apk2024-10-26 06:09 316K 
[   ]gnucobol-lang-3.2-r0.apk2025-07-29 01:02 316K 
[   ]pypykatz-0.6.13-r1.apk2026-01-03 07:49 316K 
[   ]ibus-typing-booster-lang-2.29.0-r0.apk2025-12-17 02:13 317K 
[   ]diskus-0.8.0-r0.apk2025-05-19 09:20 317K 
[   ]dnssec-tools-doc-2.2.3-r13.apk2025-06-30 18:25 317K 
[   ]openwsman-libs-2.8.1-r1.apk2025-06-30 18:25 318K 
[   ]projectm-sdl-3.1.12-r2.apk2024-10-26 06:10 318K 
[   ]libvalkey-dev-0.2.1-r0.apk2025-11-17 22:56 319K 
[   ]perl-dancer2-doc-2.0.1-r0.apk2026-01-24 21:28 319K 
[   ]py3-asysocks-pyc-0.2.18-r0.apk2025-11-03 17:49 320K 
[   ]py3-pymupdf-1.26.4-r2.apk2025-12-20 07:29 320K 
[   ]monetdb-doc-11.33.11-r4.apk2024-10-26 06:10 321K 
[   ]foma-0.10.0_git20240712-r0.apk2024-10-26 06:09 322K 
[   ]nfcd-1.2.4-r0.apk2026-01-01 03:43 322K 
[   ]rizin-dev-0.8.1-r0.apk2025-07-01 03:49 323K 
[   ]rclone-browser-1.8.0-r1.apk2024-10-26 06:10 323K 
[   ]perl-html-formhandler-doc-0.40068-r0.apk2025-05-04 07:03 323K 
[   ]kodi-game-libretro-mame2000-0.37.0.32-r0.apk2026-01-10 03:02 324K 
[   ]dum-0.1.20-r1.apk2025-04-03 04:03 324K 
[   ]linux-gpib-doc-4.3.7-r0.apk2025-12-07 10:07 326K 
[   ]toml2json-1.3.2-r0.apk2025-09-02 00:13 328K 
[   ]libblastrampoline-5.2.0-r0.apk2024-10-26 06:09 329K 
[   ]py3-msldap-pyc-0.5.15-r2.apk2025-10-18 04:04 330K 
[   ]code-minimap-0.6.7-r0.apk2024-12-13 06:37 332K 
[   ]py3-eventlet-0.38.1-r0.apk2024-12-12 08:36 332K 
[   ]modem-manager-gui-0.0.20-r0.apk2024-10-26 06:10 332K 
[   ]boost1.89-log_setup-1.89.0-r1.apk2026-01-18 09:42 333K 
[   ]klong-20221212-r0.apk2025-06-08 02:16 333K 
[   ]diceware-1.0.1-r0.apk2025-01-14 09:49 334K 
[   ]libvmaf-3.0.0-r0.apk2024-10-26 06:09 334K 
[   ]libsemigroups-dev-2.7.3-r1.apk2024-12-15 06:38 335K 
[   ]lomiri-filemanager-app-1.1.4-r0.apk2025-08-20 07:08 335K 
[   ]py3-dateparser-pyc-1.2.2-r0.apk2025-07-07 06:46 335K 
[   ]fuzzylite-libs-6.0-r2.apk2025-02-02 03:09 335K 
[   ]libsigrokdecode-0.5.3-r4.apk2024-10-26 06:09 336K 
[   ]py3-eventlet-pyc-0.38.1-r0.apk2024-12-12 08:36 336K 
[   ]boost1.89-wave-1.89.0-r1.apk2026-01-18 09:42 336K 
[   ]perl-snmp-info-3.974000-r0.apk2025-09-12 15:49 337K 
[   ]php81-pear-8.1.34-r1.apk2026-01-14 15:08 338K 
[   ]php82-pear-8.2.30-r2.apk2026-01-14 15:08 338K 
[   ]timoni-doc-0.23.0-r11.apk2026-01-18 09:42 338K 
[   ]bartib-1.0.1-r1.apk2024-10-26 06:08 338K 
[   ]psftools-1.1.2-r0.apk2024-10-26 06:10 339K 
[   ]lxqt-wayland-session-0.3.0-r0.apk2025-11-21 08:27 339K 
[   ]sigma-pyc-0.23.1-r1.apk2024-10-26 06:10 340K 
[   ]electron-dev-39.2.7-r0.apk2025-12-19 03:05 341K 
[   ]lomiri-app-launch-0.1.12-r5.apk2025-12-19 01:26 343K 
[   ]bkt-0.8.0-r0.apk2024-10-26 06:08 343K 
[   ]py3-rns-1.0.0-r0.apk2025-09-24 08:13 344K 
[   ]morph-browser-lang-1.99.2-r0.apk2026-01-26 08:15 344K 
[   ]valent-1.0.0_alpha49-r0.apk2025-12-25 05:31 345K 
[   ]cutechess-cli-1.3.1-r0.apk2024-10-26 06:08 346K 
[   ]liquid-dsp-1.5.0-r0.apk2024-10-26 06:09 346K 
[   ]vixl-dev-8.0.0-r0.apk2025-07-16 04:26 347K 
[   ]iotas-pyc-0.12.5-r0.apk2025-11-19 07:54 348K 
[   ]kodi-inputstream-ffmpegdirect-21.3.7-r0.apk2025-03-03 03:15 349K 
[   ]lomiri-history-service-0.6-r21.apk2026-01-15 06:46 349K 
[   ]gkrellm-2.3.11-r0.apk2025-01-09 09:36 350K 
[   ]musikcube-plugin-server-3.0.5-r0.apk2025-09-28 08:15 351K 
[   ]planner-0.14.92-r1.apk2024-12-09 08:43 351K 
[   ]lgogdownloader-3.18-r0.apk2026-01-01 08:51 352K 
[   ]xml2rfc-3.28.1-r3.apk2025-12-18 22:02 352K 
[   ]py3-ly-pyc-0.9.9-r0.apk2025-07-13 08:56 352K 
[   ]predoc-0.2.3-r0.apk2026-01-25 00:59 353K 
[   ]py3-hfst-3.16.2-r1.apk2026-01-14 15:08 353K 
[   ]perl-dbix-class-0.082844-r0.apk2025-01-17 04:26 355K 
[   ]checkpolicy-3.6-r0.apk2024-10-26 06:08 357K 
[   ]vile-doc-9.8z_p1-r2.apk2025-10-10 04:38 357K 
[   ]font-aref-ruqaa-1.006-r0.apk2025-04-14 07:28 357K 
[   ]freediameter-extensions-1.5.0-r1.apk2024-10-26 06:09 357K 
[   ]video-trimmer-25.03-r0.apk2025-07-01 00:44 358K 
[   ]py3-ovos-lingua-franca-0.4.8_alpha3-r2.apk2024-10-26 06:10 358K 
[   ]hamster-time-tracker-pyc-3.0.3-r2.apk2024-10-26 06:09 358K 
[   ]py3-flask-admin-pyc-1.6.1-r3.apk2024-10-26 06:10 358K 
[   ]py3-pycaption-2.2.15-r0.apk2024-10-26 06:10 360K 
[   ]pyinfra-pyc-3.3.1-r0.apk2025-07-16 19:48 361K 
[   ]vile-common-9.8z_p1-r2.apk2025-10-10 04:38 362K 
[   ]gnome-latex-3.49.0-r0.apk2026-01-08 13:33 362K 
[   ]moosefs-master-4.56.6-r2.apk2025-06-19 19:44 363K 
[   ]jackdaw-pyc-0.3.1-r2.apk2025-05-29 23:00 364K 
[   ]highctidh-1.0.2024092800-r0.apk2024-11-26 07:23 364K 
[   ]gloox-1.0.28-r0.apk2024-10-26 06:09 365K 
[   ]spread-sheet-widget-dev-0.10-r0.apk2024-10-26 06:10 365K 
[   ]xfsdump-3.2.0-r0.apk2025-08-31 07:48 365K 
[   ]libandroidfw-0_git20251009-r1.apk2026-01-14 15:08 365K 
[   ]py3-django-suit-0.2.28-r8.apk2024-10-26 06:10 366K 
[   ]wcm-0.9.0-r0.apk2025-02-28 07:17 366K 
[   ]torrent-file-editor-0.3.18-r0.apk2024-10-26 06:11 366K 
[   ]3proxy-0.9.5-r1.apk2025-11-18 00:13 366K 
[   ]mediastreamer2-5.3.112-r1.apk2025-10-20 00:37 367K 
[   ]keydb-cli-6.3.4-r0.apk2024-10-26 06:09 369K 
[   ]desed-1.2.1-r1.apk2024-10-26 06:08 369K 
[   ]py3-bleak-0.22.3-r0.apk2024-10-26 06:10 370K 
[   ]triforce-0.3.2-r0.apk2025-06-03 18:03 370K 
[   ]wlroots0.17-0.17.4-r3.apk2025-08-13 19:05 370K 
[   ]py3-netmiko-pyc-4.6.0-r0.apk2025-08-14 02:31 372K 
[   ]syd-tui-0.2.2-r0.apk2025-12-08 11:04 373K 
[   ]advancemame-doc-3.9-r4.apk2024-10-26 06:08 374K 
[   ]py3-igraph-pyc-1.0.0-r0.apk2025-10-28 13:27 374K 
[   ]perl-catalyst-manual-doc-5.9013-r0.apk2025-04-04 16:22 375K 
[   ]py3-highctidh-1.0.2024092800-r0.apk2024-11-26 07:23 376K 
[   ]php81-fileinfo-8.1.34-r1.apk2026-01-14 15:08 376K 
[   ]php82-fileinfo-8.2.30-r2.apk2026-01-14 15:08 377K 
[   ]openvpn3-3.8.5-r1.apk2025-02-18 02:08 377K 
[   ]gkrellm-lang-2.3.11-r0.apk2025-01-09 09:36 379K 
[   ]e16-lang-1.0.30-r0.apk2024-11-06 00:22 380K 
[   ]lumina-desktop-fm-1.6.2-r0.apk2024-10-26 06:09 380K 
[   ]pcl-dev-1.15.1-r0.apk2026-01-18 13:32 380K 
[   ]wlroots0.18-0.18.3-r0.apk2025-11-29 10:54 381K 
[   ]py3-pacparser-1.4.5-r1.apk2024-10-26 06:10 381K 
[   ]barman-3.17.0-r0.apk2026-01-22 03:58 381K 
[   ]lomiri-calculator-app-4.1.0-r0.apk2025-08-20 07:08 382K 
[   ]py3-slixmpp-1.8.5-r2.apk2024-10-26 06:10 383K 
[   ]exabgp-4.2.24-r1.apk2025-09-07 03:20 385K 
[   ]terminalpp-0.8.4-r0.apk2024-10-26 06:10 385K 
[   ]libsimpleble-0.10.4-r0.apk2026-01-13 14:12 386K 
[   ]gede-2.22.1-r0.apk2025-11-03 07:48 386K 
[   ]py3-aioxmpp-0.13.3-r3.apk2024-10-26 06:10 388K 
[   ]emacs-lsp-booster-0.2.1-r0.apk2025-04-14 07:28 389K 
[   ]perl-snmp-info-doc-3.974000-r0.apk2025-09-12 15:49 390K 
[   ]atlantik-3.5.10_git20240323-r0.apk2024-10-26 06:08 391K 
[   ]perl-devel-nytprof-6.14-r1.apk2025-06-30 18:25 391K 
[   ]py3-xsdata-pyc-26.1-r0.apk2026-01-20 22:16 392K 
[   ]piper-phonemize-dev-2023.11.14.4-r9.apk2025-07-13 03:01 394K 
[   ]ruby-mail-2.9.0-r0.apk2025-10-24 23:36 394K 
[   ]libretro-tyrquake-0_git20220409-r0.apk2024-10-26 06:09 395K 
[   ]drumgizmo-0.9.20-r1.apk2024-10-26 06:09 395K 
[   ]noblenote-1.2.1-r1.apk2024-10-26 06:10 396K 
[   ]botan2-2.19.5-r0.apk2025-05-29 21:14 396K 
[   ]vkbasalt-0.3.2.10-r0.apk2024-10-26 06:11 397K 
[   ]appcenter-8.0.0-r0.apk2024-11-13 08:56 399K 
[   ]startup-2.0.3-r5.apk2024-10-26 06:10 401K 
[   ]welle-io-2.7-r0.apk2025-04-08 05:40 401K 
[   ]knxd-0.14.61-r1.apk2024-12-15 06:38 402K 
[   ]gdcm-3.2.2-r3.apk2025-12-02 16:55 403K 
[   ]highctidh-dev-1.0.2024092800-r0.apk2024-11-26 07:23 403K 
[   ]mergerfs-2.41.1-r0.apk2025-12-14 03:10 403K 
[   ]hyprlock-0.9.2-r0.apk2025-12-14 21:58 404K 
[   ]libqofono-qt6-0.124-r0.apk2025-01-11 03:19 404K 
[   ]simgear-dev-2024.1.1-r0.apk2025-03-05 11:16 404K 
[   ]xml2rfc-pyc-3.28.1-r3.apk2025-12-18 22:02 407K 
[   ]py3-igraph-1.0.0-r0.apk2025-10-28 13:27 408K 
[   ]projectm-pulseaudio-3.1.12-r2.apk2024-10-26 06:10 408K 
[   ]pandora_box-0.18.0-r0.apk2026-01-05 00:46 409K 
[   ]kodi-vfs-rar-20.1.0-r1.apk2024-10-26 06:09 409K 
[   ]pwvucontrol-0.5.0-r0.apk2025-10-21 07:14 411K 
[   ]lua5.1-luacov-html-1.0.0-r1.apk2024-10-26 06:09 413K 
[   ]lua5.2-luacov-html-1.0.0-r1.apk2024-10-26 06:09 413K 
[   ]lua5.3-luacov-html-1.0.0-r1.apk2024-10-26 06:09 413K 
[   ]mm-common-1.0.7-r0.apk2025-06-28 03:39 414K 
[   ]svgbob-0.7.6-r0.apk2025-02-02 08:08 417K 
[   ]diskonaut-0.11.0-r3.apk2024-10-26 06:08 419K 
[   ]gaupol-pyc-1.12-r2.apk2024-10-26 06:09 419K 
[   ]perl-dbix-class-doc-0.082844-r0.apk2025-01-17 04:26 421K 
[   ]qtpass-1.4.0-r0.apk2024-10-26 06:10 421K 
[   ]qsynth-1.0.3-r0.apk2025-11-25 22:01 421K 
[   ]batmon-0.0.1-r0.apk2024-10-26 06:08 422K 
[   ]buildbot-www-4.3.0-r1.apk2026-01-24 02:51 422K 
[   ]esptool-4.8.1-r0.apk2024-10-26 06:09 424K 
[   ]lol-html-1.1.1-r1.apk2024-10-26 06:09 425K 
[   ]visidata-3.3-r0.apk2025-09-16 00:56 425K 
[   ]libretro-neocd-0_git20220325-r1.apk2024-10-26 06:09 425K 
[   ]cargo-run-bin-1.7.2-r0.apk2024-10-26 06:08 425K 
[   ]py3-owslib-pyc-0.35.0-r0.apk2025-11-03 06:15 425K 
[   ]buildbot-wsgi-dashboards-4.3.0-r1.apk2026-01-24 02:51 426K 
[   ]libantlr4-4.13.2-r0.apk2024-12-12 22:12 427K 
[   ]py3-fastavro-1.12.1-r0.apk2025-10-14 12:59 428K 
[   ]py3-b2sdk-pyc-2.10.2-r0.apk2025-12-17 05:41 429K 
[   ]geotagging-0.7.4-r0.apk2024-11-12 22:04 430K 
[   ]font-stix-ttf-2.13-r0.apk2024-10-26 06:09 430K 
[   ]zycore-doc-1.5.0-r1.apk2025-07-12 17:31 433K 
[   ]keydb-benchmark-6.3.4-r0.apk2024-10-26 06:09 433K 
[   ]projectm-3.1.12-r2.apk2024-10-26 06:10 434K 
[   ]birdtray-1.11.4-r0.apk2025-12-13 04:03 435K 
[   ]py3-ovos-lingua-franca-pyc-0.4.8_alpha3-r2.apk2024-10-26 06:10 437K 
[   ]lcalc-doc-2.1.0-r0.apk2025-05-04 07:03 437K 
[   ]spice-html5-0.3.0-r1.apk2024-10-26 06:10 438K 
[   ]mkosi-pyc-26-r1.apk2025-12-28 04:17 438K 
[   ]tomcat9-examples-9.0.112-r0.apk2025-12-02 07:49 439K 
[   ]mnemosyne-lang-2.10.1-r1.apk2024-10-26 06:10 439K 
[   ]syncthing-gtk-0.9.4.5-r2.apk2024-10-26 06:10 440K 
[   ]xsane-lang-0.999-r2.apk2024-10-26 06:11 440K 
[   ]komikku-1.85.0-r0.apk2025-08-22 19:18 444K 
[   ]libretro-beetle-pce-fast-0_git20220205-r0.apk2024-10-26 06:09 445K 
[   ]reaver-wps-fork-t6x-1.6.6-r1.apk2024-10-26 06:10 445K 
[   ]py3-aiohttp-debugtoolbar-0.6.1-r2.apk2024-10-26 06:10 446K 
[   ]simp1e-cursors-0_git20250312-r0.apk2025-03-26 22:51 447K 
[   ]pypy-tkinter-7.3.19-r0.apk2025-11-02 02:11 447K 
[   ]simp1e-cursors-left-0_git20250312-r0.apk2025-03-26 22:51 449K 
[   ]py3-flask-bootstrap-3.3.7.1-r9.apk2025-05-14 09:00 449K 
[   ]libretro-beetle-supergrafx-0_git20220218-r0.apk2024-10-26 06:09 450K 
[   ]simp1e-cursors-mix-light-0_git20250312-r0.apk2025-03-26 22:51 450K 
[   ]gdcm-dev-3.2.2-r3.apk2025-12-02 16:55 450K 
[   ]rpicam-apps-1.11.0-r0.apk2026-01-03 00:24 450K 
[   ]miracle-wm-0.8.3-r0.apk2026-01-01 03:43 450K 
[   ]qstardict-2.0.2-r1.apk2024-11-24 18:46 451K 
[   ]schismtracker-20251014-r0.apk2025-10-25 10:50 451K 
[   ]simp1e-cursors-mix-light-left-0_git20250312-r0.apk2025-03-26 22:51 451K 
[   ]lout-doc-3.42.2-r0.apk2024-10-26 06:09 453K 
[   ]timeshift-25.12.4-r0.apk2026-01-10 03:02 454K 
[   ]gnome-metronome-1.3.0-r0.apk2024-10-26 06:09 455K 
[   ]lomiri-clock-app-lang-4.1.1-r0.apk2025-05-10 04:27 456K 
[   ]netdiscover-0.21-r0.apk2025-08-15 23:45 459K 
[   ]qflipper-1.3.3-r1.apk2024-10-26 06:10 462K 
[   ]gstreamermm-1.10.0-r6.apk2025-02-18 02:07 463K 
[   ]paged-markdown-3-pdf-0.1.3-r0.apk2025-05-29 21:14 464K 
[   ]heh-0.6.3-r0.apk2026-01-22 04:22 465K 
[   ]megazeux-doc-2.93d-r0.apk2025-06-11 00:45 465K 
[   ]qtile-0.33.0-r0.apk2025-09-17 18:51 469K 
[   ]motion-lang-4.7.1-r0.apk2025-09-28 08:15 471K 
[   ]vcdimager-2.0.1-r5.apk2025-01-26 17:27 471K 
[   ]typstyle-0.12.14-r0.apk2025-01-13 00:52 475K 
[   ]php82-pdlib-1.1.0-r2.apk2026-01-18 09:42 475K 
[   ]corosync-dev-3.1.10-r0.apk2025-12-27 21:37 476K 
[   ]kimchi-pyc-3.0.0-r8.apk2025-02-13 04:33 476K 
[   ]snapweb-0.9.2-r0.apk2025-10-10 04:38 476K 
[   ]simp1e-cursors-adw-0_git20250312-r0.apk2025-03-26 22:51 478K 
[   ]libsigrok-0.5.2-r3.apk2024-10-26 06:09 481K 
[   ]simp1e-cursors-adw-dark-0_git20250312-r0.apk2025-03-26 22:51 482K 
[   ]i3bar-river-1.1.0-r1.apk2025-10-30 09:51 482K 
[   ]simp1e-cursors-adw-left-0_git20250312-r0.apk2025-03-26 22:51 483K 
[   ]simp1e-cursors-dark-0_git20250312-r0.apk2025-03-26 22:51 484K 
[   ]simp1e-cursors-adw-dark-left-0_git20250312-r0.apk2025-03-26 22:51 485K 
[   ]quakespasm-0.96.3-r0.apk2024-10-26 06:10 485K 
[   ]featherpad-lang-1.6.2-r0.apk2025-11-29 06:40 485K 
[   ]libre-dev-4.4.0-r0.apk2026-01-24 23:59 485K 
[   ]uucp-1.07-r6.apk2024-10-26 06:11 487K 
[   ]simp1e-cursors-mix-dark-0_git20250312-r0.apk2025-03-26 22:51 487K 
[   ]mapnik-dev-4.1.4-r2.apk2026-01-27 22:55 487K 
[   ]simp1e-cursors-dark-left-0_git20250312-r0.apk2025-03-26 22:51 490K 
[   ]libretro-pcsx-rearmed-0_git20220409-r0.apk2024-10-26 06:09 490K 
[   ]gr-satellites-5.5.0-r6.apk2025-10-12 23:09 491K 
[   ]simp1e-cursors-mix-dark-left-0_git20250312-r0.apk2025-03-26 22:51 492K 
[   ]pcl-1.15.1-r0.apk2026-01-18 13:32 493K 
[   ]bazaar-0.7.2-r0.apk2026-01-05 01:48 494K 
[   ]oppa-1.1.0-r0.apk2025-10-13 00:21 495K 
[   ]getting-things-gnome-doc-0.6-r4.apk2024-12-09 08:43 497K 
[   ]py3-telegram-bot-22.5-r0.apk2025-11-20 05:29 497K 
[   ]py3-openwisp-utils-1.0.4-r4.apk2024-10-26 06:10 498K 
[   ]py3-litex-hub-pythondata-cpu-cva5-2024.04-r0.apk2024-10-26 06:10 500K 
[   ]py3-pymupdf-pyc-1.26.4-r2.apk2025-12-20 07:29 500K 
[   ]libretro-openlara-0_git20210121-r0.apk2024-10-26 06:09 502K 
[   ]py3-pyinstaller-pyc-6.6.0-r0.apk2024-10-26 06:10 502K 
[   ]mint-x-theme-gtk4-2.3.7-r1.apk2026-01-25 01:18 503K 
[   ]py3-imageio-pyc-2.37.0-r0.apk2025-07-12 17:30 504K 
[   ]simp1e-cursors-breeze-0_git20250312-r0.apk2025-03-26 22:51 504K 
[   ]bore-0.5.2-r0.apk2024-12-16 06:25 504K 
[   ]meep-dev-1.31.0-r1.apk2025-08-20 00:04 507K 
[   ]trace-cmd-dbg-3.3.1-r1.apk2025-01-26 17:27 507K 
[   ]font-cascadia-mono-2407.24-r1.apk2025-05-28 01:36 507K 
[   ]qtmir-0.7.2_git20250407-r5.apk2025-12-19 01:26 507K 
[   ]freshrss-lang-1.28.0-r0.apk2026-01-02 12:39 507K 
[   ]php82-opcache-8.2.30-r2.apk2026-01-14 15:08 508K 
[   ]parse-changelog-0.6.12-r0.apk2025-05-19 09:20 508K 
[   ]php81-opcache-8.1.34-r1.apk2026-01-14 15:08 508K 
[   ]zmx-0.2.0-r0.apk2026-01-02 10:27 509K 
[   ]simp1e-cursors-breeze-left-0_git20250312-r0.apk2025-03-26 22:51 509K 
[   ]mimalloc1-dev-1.9.4-r0.apk2025-11-06 01:28 510K 
[   ]libguestfs-static-1.56.1-r0.apk2025-07-23 15:04 510K 
[   ]litehtml-static-0.9-r2.apk2025-02-18 02:07 510K 
[   ]log4cxx-1.5.0-r0.apk2026-01-08 13:33 510K 
[   ]wl-screenrec-0.1.7-r1.apk2025-08-28 03:38 511K 
[   ]cdist-7.0.0-r6.apk2024-10-26 06:08 511K 
[   ]plots-0.7.0-r1.apk2024-11-06 20:41 516K 
[   ]simp1e-cursors-gruvbox-light-0_git20250312-r0.apk2025-03-26 22:51 517K 
[   ]simp1e-cursors-nord-light-0_git20250312-r0.apk2025-03-26 22:51 518K 
[   ]liquid-dsp-dev-1.5.0-r0.apk2024-10-26 06:09 519K 
[   ]scooper-1.3-r1.apk2024-10-26 06:10 519K 
[   ]simp1e-cursors-tokyo-night-light-0_git20250312-r0.apk2025-03-26 22:51 520K 
[   ]simp1e-cursors-breeze-dark-0_git20250312-r0.apk2025-03-26 22:51 520K 
[   ]py3-scrapy-pyc-2.13.4-r0.apk2026-01-06 09:29 521K 
[   ]opentelemetry-cpp-dev-1.24.0-r0.apk2025-11-23 00:32 521K 
[   ]perl-imager-1.028-r1.apk2025-06-30 18:25 521K 
[   ]simp1e-cursors-solarized-dark-0_git20250312-r0.apk2025-03-26 22:51 524K 
[   ]simp1e-cursors-nord-light-left-0_git20250312-r0.apk2025-03-26 22:51 525K 
[   ]riemann-cli-0.8.0-r2.apk2024-10-26 06:10 525K 
[   ]simp1e-cursors-gruvbox-dark-0_git20250312-r0.apk2025-03-26 22:51 525K 
[   ]font-cascadia-code-2407.24-r1.apk2025-05-28 01:36 526K 
[   ]simp1e-cursors-breeze-dark-left-0_git20250312-r0.apk2025-03-26 22:51 526K 
[   ]simp1e-cursors-gruvbox-light-left-0_git20250312-r0.apk2025-03-26 22:51 527K 
[   ]simp1e-cursors-tokyo-night-light-left-0_git20250312-r0.apk2025-03-26 22:51 527K 
[   ]simp1e-cursors-catppuccin-latte-0_git20250312-r0.apk2025-03-26 22:51 528K 
[   ]httrack-doc-3.49.2-r5.apk2024-10-26 06:09 528K 
[   ]kimchi-3.0.0-r8.apk2025-02-13 04:33 529K 
[   ]simp1e-cursors-zenburn-0_git20250312-r0.apk2025-03-26 22:51 529K 
[   ]simp1e-cursors-catppuccin-mocha-0_git20250312-r0.apk2025-03-26 22:51 530K 
[   ]simp1e-cursors-tokyo-night-0_git20250312-r0.apk2025-03-26 22:51 530K 
[   ]gnome-latex-lang-3.49.0-r0.apk2026-01-08 13:33 530K 
[   ]simp1e-cursors-solarized-light-0_git20250312-r0.apk2025-03-26 22:51 531K 
[   ]xmoto-lang-0.6.3-r0.apk2025-10-04 04:02 532K 
[   ]simp1e-cursors-catppuccin-macchiato-0_git20250312-r0.apk2025-03-26 22:51 533K 
[   ]aspell-es-1.11-r0.apk2024-10-26 06:08 533K 
[   ]simp1e-cursors-rose-pine-0_git20250312-r0.apk2025-03-26 22:51 533K 
[   ]libretro-daphne-0_git20210108-r2.apk2024-10-26 06:09 534K 
[   ]simp1e-cursors-tokyo-night-storm-0_git20250312-r0.apk2025-03-26 22:51 534K 
[   ]jrsonnet-cli-0.4.2-r1.apk2024-10-26 06:09 534K 
[   ]simp1e-cursors-solarized-dark-left-0_git20250312-r0.apk2025-03-26 22:51 535K 
[   ]simp1e-cursors-catppuccin-frappe-0_git20250312-r0.apk2025-03-26 22:51 535K 
[   ]faust-static-2.79.3-r0.apk2025-06-08 04:01 536K 
[   ]simp1e-cursors-nord-dark-0_git20250312-r0.apk2025-03-26 22:51 536K 
[   ]marxan-4.0.7-r1.apk2024-10-26 06:10 537K 
[   ]proverif-utils-2.05-r1.apk2026-01-12 09:39 537K 
[   ]simp1e-cursors-gruvbox-dark-left-0_git20250312-r0.apk2025-03-26 22:51 537K 
[   ]simp1e-cursors-catppuccin-latte-left-0_git20250312-r0.apk2025-03-26 22:51 537K 
[   ]font-terminus-ttf-4.49.3-r0.apk2025-07-12 17:30 538K 
[   ]simp1e-cursors-rose-pine-moon-0_git20250312-r0.apk2025-03-26 22:51 538K 
[   ]mkdocs-bootswatch-1.1-r5.apk2024-10-26 06:10 538K 
[   ]simp1e-cursors-rose-pine-dawn-0_git20250312-r0.apk2025-03-26 22:51 538K 
[   ]py3-meshtastic-2.7.2-r0.apk2025-09-17 01:11 540K 
[   ]mapserver-dev-8.4.1-r1.apk2025-12-05 05:49 540K 
[   ]simp1e-cursors-catppuccin-mocha-left-0_git20250312-r0.apk2025-03-26 22:51 540K 
[   ]simp1e-cursors-zenburn-left-0_git20250312-r0.apk2025-03-26 22:51 540K 
[   ]morph-browser-1.99.2-r0.apk2026-01-26 08:15 541K 
[   ]simp1e-cursors-tokyo-night-left-0_git20250312-r0.apk2025-03-26 22:51 541K 
[   ]turn-rs-3.4.0-r1.apk2025-06-13 02:22 541K 
[   ]simp1e-cursors-solarized-light-left-0_git20250312-r0.apk2025-03-26 22:51 542K 
[   ]nitro-2.7_beta8-r2.apk2024-10-26 06:10 543K 
[   ]simp1e-cursors-catppuccin-macchiato-left-0_git20250312-r0.apk2025-03-26 22:51 544K 
[   ]simp1e-cursors-rose-pine-left-0_git20250312-r0.apk2025-03-26 22:51 545K 
[   ]libretro-picodrive-0_git20220405-r0.apk2024-10-26 06:09 545K 
[   ]py3-nikola-pyc-8.3.3-r0.apk2025-10-11 21:49 545K 
[   ]simp1e-cursors-tokyo-night-storm-left-0_git20250312-r0.apk2025-03-26 22:51 546K 
[   ]perl-lingua-en-tagger-0.31-r0.apk2025-06-09 03:02 546K 
[   ]rpg-cli-1.2.0-r0.apk2024-10-26 06:10 546K 
[   ]simp1e-cursors-catppuccin-frappe-left-0_git20250312-r0.apk2025-03-26 22:51 547K 
[   ]simp1e-cursors-nord-dark-left-0_git20250312-r0.apk2025-03-26 22:51 549K 
[   ]esptool-pyc-4.8.1-r0.apk2024-10-26 06:09 549K 
[   ]simp1e-cursors-rose-pine-moon-left-0_git20250312-r0.apk2025-03-26 22:51 550K 
[   ]py3-ovos-ocp-audio-plugin-0.0.6_alpha2-r3.apk2024-10-26 06:10 550K 
[   ]simp1e-cursors-rose-pine-dawn-left-0_git20250312-r0.apk2025-03-26 22:51 551K 
[   ]jellyfin-desktop-2.0.0-r0.apk2026-01-19 13:24 552K 
[   ]platformio-core-pyc-6.1.7-r3.apk2024-10-26 06:10 552K 
[   ]crow-translate-lang-4.0.2-r0.apk2025-09-22 01:09 554K 
[   ]py3-yosys-0.57-r0.apk2025-10-25 08:11 557K 
[   ]ttyper-1.6.0-r0.apk2025-02-06 08:45 559K 
[   ]perl-alien-libgumbo-0.05-r1.apk2025-06-30 18:25 560K 
[   ]py3-cassandra-driver-pyc-3.29.2-r0.apk2024-10-26 06:10 560K 
[   ]libuninameslist-20230916-r0.apk2024-10-26 06:09 563K 
[   ]opendht-libs-3.1.11-r0.apk2025-01-28 07:56 565K 
[   ]kew-3.7.3-r0.apk2026-01-08 13:33 566K 
[   ]wayfire-plugins-extra-0.9.0-r0.apk2025-02-28 07:17 566K 
[   ]php81-mbstring-8.1.34-r1.apk2026-01-14 15:08 566K 
[   ]font-tiresias-0_git20200704-r0.apk2024-10-26 06:09 568K 
[   ]libguestfs-doc-1.56.1-r0.apk2025-07-23 15:04 569K 
[   ]lomiri-download-manager-0.3.0-r0.apk2025-12-09 22:10 571K 
[   ]perl-libintl-perl-doc-1.35-r0.apk2025-01-17 00:35 571K 
[   ]pypy3-dev-7.3.19-r0.apk2025-11-02 02:11 573K 
[   ]java-asmtools-8.0.09-r0.apk2024-10-26 06:09 574K 
[   ]nsh-0.4.2-r1.apk2024-10-26 06:10 578K 
[   ]lomiri-indicator-network-1.1.2-r0.apk2025-09-08 12:00 582K 
[   ]postgresql-pg_later-0.0.14-r1.apk2024-10-26 06:10 583K 
[   ]splitter-0.4.1-r1.apk2025-07-15 15:09 584K 
[   ]belle-sip-5.3.105-r0.apk2025-02-25 23:52 584K 
[   ]py3-aiosmb-0.4.14-r0.apk2025-11-03 17:49 585K 
[   ]budgie-desktop-lang-10.9.2-r0.apk2025-10-17 04:07 586K 
[   ]py3-apsw-pyc-3.51.2.0-r0.apk2026-01-23 18:59 586K 
[   ]xonsh-0.19.9-r0.apk2025-11-01 00:29 589K 
[   ]boinc-dev-7.24.3-r0.apk2024-10-26 06:08 591K 
[   ]rygel-lang-45.1-r0.apk2026-01-06 02:35 591K 
[   ]cargo-vendor-filterer-0.5.18-r0.apk2025-07-25 04:29 592K 
[   ]gtranslator-lang-49.0-r0.apk2025-09-15 18:46 593K 
[   ]uranium-5.2.2-r3.apk2024-10-26 06:11 596K 
[   ]orage-4.21.0-r0.apk2026-01-08 13:33 596K 
[   ]gufw-24.04-r3.apk2024-11-20 11:45 596K 
[   ]mint-x-theme-gtk3-2.3.7-r1.apk2026-01-25 01:18 597K 
[   ]gnu-apl-dev-1.9-r0.apk2024-10-26 06:09 599K 
[   ]barman-pyc-3.17.0-r0.apk2026-01-22 03:58 599K 
[   ]petitboot-dbg-1.15-r1.apk2026-01-15 15:57 601K 
[   ]squeak-vm-4.10.2.2614-r2.apk2025-10-10 04:38 601K 
[   ]mnemosyne-2.10.1-r1.apk2024-10-26 06:10 607K 
[   ]ettercap-0.8.3.1-r3.apk2024-10-26 06:09 610K 
[   ]py3-textual-5.3.0-r0.apk2025-10-27 20:05 612K 
[   ]eva-0.3.1-r2.apk2024-10-26 06:09 615K 
[   ]felix-2.16.1-r0.apk2025-05-16 19:30 616K 
[   ]java-gdcm-3.2.2-r3.apk2025-12-02 16:55 616K 
[   ]paperde-0.3.0-r2.apk2025-08-21 18:47 617K 
[   ]rescrobbled-0.8.0-r0.apk2026-01-26 10:23 617K 
[   ]oils-for-unix-0.35.0-r0.apk2025-09-29 09:29 618K 
[   ]opentelemetry-cpp-1.24.0-r0.apk2025-11-23 00:32 620K 
[   ]php82-mbstring-8.2.30-r2.apk2026-01-14 15:08 623K 
[   ]meep-1.31.0-r1.apk2025-08-20 00:04 623K 
[   ]font-chivo-mono-0_git20221110-r0.apk2024-10-26 06:09 626K 
[   ]mnemosyne-pyc-2.10.1-r1.apk2024-10-26 06:10 628K 
[   ]projectm-dev-3.1.12-r2.apk2024-10-26 06:10 629K 
[   ]libretro-bluemsx-0_git20240808-r0.apk2024-10-26 06:09 634K 
[   ]gtypist-2.10.1-r0.apk2025-10-13 07:59 635K 
[   ]gtranslator-doc-49.0-r0.apk2025-09-15 18:46 638K 
[   ]libretro-snes9x-0_git20240819-r0.apk2024-10-26 06:09 639K 
[   ]lumins-0.4.0-r2.apk2024-10-26 06:09 640K 
[   ]bonzomatic-20230615-r0.apk2024-10-26 06:08 642K 
[   ]mkdocs-gitbook-0.0.1-r5.apk2024-10-26 06:10 644K 
[   ]pure-data-libs-0.55.2-r0.apk2025-06-30 18:25 649K 
[   ]mkdocs-cluster-0.0.9-r5.apk2024-10-26 06:10 651K 
[   ]kondo-0.8-r0.apk2024-10-26 06:09 652K 
[   ]font-material-icons-4.0.0-r0.apk2024-10-26 06:09 652K 
[   ]pwndbg-2025.10.20-r0.apk2026-01-01 01:55 654K 
[   ]supermin-5.2.2-r2.apk2024-10-26 06:10 654K 
[   ]boost1.89-1.89.0-r1.apk2026-01-18 09:42 655K 
[   ]py3-cvxpy-1.2.1-r5.apk2024-10-26 06:10 656K 
[   ]py3-gdcm-3.2.2-r3.apk2025-12-02 16:55 659K 
[   ]yaml-language-server-1.19.2-r0.apk2025-10-10 04:38 666K 
[   ]boost1.89-libs-1.89.0-r1.apk2026-01-18 09:42 667K 
[   ]openvpn3-dev-3.8.5-r1.apk2025-02-18 02:08 667K 
[   ]sshs-4.7.2-r0.apk2025-05-04 07:03 670K 
[   ]udpt-3.1.2-r0.apk2024-10-26 06:11 673K 
[   ]py3-aioxmpp-pyc-0.13.3-r3.apk2024-10-26 06:10 673K 
[   ]codec2-1.2.0-r1.apk2025-11-23 05:51 674K 
[   ]featherpad-1.6.2-r0.apk2025-11-29 06:40 675K 
[   ]firehol-doc-3.1.7-r2.apk2024-10-26 06:09 675K 
[   ]py3-litex-hub-pythondata-cpu-serv-2024.04-r0.apk2024-10-26 06:10 675K 
[   ]font-monocraft-4.0-r0.apk2024-10-26 06:09 677K 
[   ]pitivi-lang-2023.03-r2.apk2024-12-23 12:15 678K 
[   ]mdbook-alerts-0.8.0-r0.apk2025-09-26 23:14 679K 
[   ]ecasound-2.9.3-r4.apk2025-02-25 08:33 679K 
[   ]py3-trimesh-4.11.1-r0.apk2026-01-27 02:40 680K 
[   ]libmdbx-0.11.8-r0.apk2024-10-26 06:09 684K 
[   ]hare-lsp-0.1.0-r0.apk2025-12-28 04:59 689K 
[   ]rpi-imager-1.9.0-r1.apk2025-07-24 19:08 696K 
[   ]pitivi-pyc-2023.03-r2.apk2024-12-23 12:15 700K 
[   ]pacparser-1.4.5-r1.apk2024-10-26 06:10 702K 
[   ]py3-rns-pyc-1.0.0-r0.apk2025-09-24 08:13 705K 
[   ]libsemigroups-2.7.3-r1.apk2024-12-15 06:38 707K 
[   ]moosefs-static-4.56.6-r2.apk2025-06-19 19:44 710K 
[   ]py3-litex-hub-pythondata-cpu-vexriscv-2024.04-r0.apk2024-10-26 06:10 713K 
[   ]nginx-ultimate-bad-bot-blocker-4.2023.10.4046-r1.apk2024-10-26 06:10 713K 
[   ]moosefs-client-4.56.6-r2.apk2025-06-19 19:44 713K 
[   ]APKINDEX.tar.gz2026-01-29 17:10 714KGZIP compressed TAR archive
[   ]getting-things-gnome-0.6-r4.apk2024-12-09 08:43 715K 
[   ]nyuu-0.4.2-r1.apk2025-12-30 02:46 716K 
[   ]tsung-1.8.0-r3.apk2025-06-13 16:35 722K 
[   ]pypykatz-pyc-0.6.13-r1.apk2026-01-03 07:49 724K 
[   ]py3-modern_colorthief-0.1.7-r0.apk2025-06-17 05:00 725K 
[   ]py3-slixmpp-pyc-1.8.5-r2.apk2024-10-26 06:10 729K 
[   ]gperftools-dev-2.17-r0.apk2025-08-06 00:00 730K 
[   ]jaq-2.3.0-r0.apk2025-07-23 15:04 731K 
[   ]castor-0.9.0-r2.apk2024-10-26 06:08 732K 
[   ]apache2-mod-perl-2.0.13-r2.apk2025-06-30 18:25 732K 
[   ]hunspell-ca-es-3.0.7-r1.apk2026-01-27 22:55 734K 
[   ]buildbot-4.3.0-r1.apk2026-01-24 02:51 736K 
[   ]zsh-histdb-skim-0.8.6-r0.apk2024-10-26 06:11 741K 
[   ]dlib-20.0-r0.apk2026-01-18 09:42 743K 
[   ]wiremix-0.7.0-r0.apk2025-08-22 23:01 743K 
[   ]py3-beartype-pyc-0.22.9-r0.apk2025-12-16 05:33 744K 
[   ]nextpnr-generic-0.7-r0.apk2024-10-26 06:10 745K 
[   ]buildcache-0.28.9-r0.apk2024-10-26 06:08 747K 
[   ]responder-3.1.7.0-r0.apk2025-10-15 01:54 747K 
[   ]prjtrellis-db-machxo3d-0_git20230929-r0.apk2024-10-26 06:10 748K 
[   ]vile-9.8z_p1-r2.apk2025-10-10 04:38 749K 
[   ]transito-doc-0.10.0-r1.apk2026-01-18 09:42 756K 
[   ]66-dbg-0.8.2.1-r0.apk2025-06-04 06:11 756K 
[   ]yaru-theme-purple-25.10.3-r0.apk2026-01-06 16:46 757K 
[   ]nicotine-plus-lang-3.3.10-r0.apk2025-05-04 07:03 757K 
[   ]rtw89-src-7_p20230725-r0.apk2024-10-26 06:10 759K 
[   ]yaru-theme-olive-25.10.3-r0.apk2026-01-06 16:46 759K 
[   ]sudo-ldap-1.9.17_p1-r0.apk2025-07-02 05:57 759K 
[   ]yaru-theme-prussiangreen-25.10.3-r0.apk2026-01-06 16:46 760K 
[   ]yaru-theme-red-25.10.3-r0.apk2026-01-06 16:46 760K 
[   ]yaru-theme-viridian-25.10.3-r0.apk2026-01-06 16:46 760K 
[   ]yaru-theme-magenta-25.10.3-r0.apk2026-01-06 16:46 762K 
[   ]yaru-theme-bark-25.10.3-r0.apk2026-01-06 16:46 763K 
[   ]yaru-theme-sage-25.10.3-r0.apk2026-01-06 16:46 763K 
[   ]libetebase-0.5.8-r0.apk2025-09-28 06:40 764K 
[   ]twiggy-0.6.0-r3.apk2024-10-26 06:11 764K 
[   ]yaru-theme-wartybrown-25.10.3-r0.apk2026-01-06 16:46 764K 
[   ]httrack-3.49.2-r5.apk2024-10-26 06:09 765K 
[   ]dnssec-tools-2.2.3-r13.apk2025-06-30 18:25 766K 
[   ]yaru-theme-blue-25.10.3-r0.apk2026-01-06 16:46 766K 
[   ]py3-livestream-2.1.0-r0.apk2024-11-26 09:22 766K 
[   ]yaru-theme-yellow-25.10.3-r0.apk2026-01-06 16:46 766K 
[   ]darkreader-4.9.110-r0.apk2025-08-21 19:58 767K 
[   ]py3-dbus-fast-3.1.2-r0.apk2025-11-24 08:15 771K 
[   ]yaru-theme-mate-25.10.3-r0.apk2026-01-06 16:46 772K 
[   ]rygel-45.1-r0.apk2026-01-06 02:35 773K 
[   ]tealdeer-1.8.0-r0.apk2025-10-28 13:27 774K 
[   ]xvile-9.8z_p1-r2.apk2025-10-10 04:38 774K 
[   ]exabgp-pyc-4.2.24-r1.apk2025-09-07 03:20 778K 
[   ]cbqn-0.10.0-r0.apk2025-11-30 23:22 780K 
[   ]azpainter-3.0.11-r0.apk2025-02-23 03:07 786K 
[   ]e16-1.0.30-r0.apk2024-11-06 00:22 787K 
[   ]gradia-1.11.1-r0.apk2026-01-08 13:33 787K 
[   ]nicotine-plus-pyc-3.3.10-r0.apk2025-05-04 07:03 789K 
[   ]cargo-show-asm-0.2.55-r0.apk2026-01-23 21:54 790K 
[   ]mkdocs-bootstrap386-0.0.2-r5.apk2024-10-26 06:10 791K 
[   ]font-chivo-0_git20221110-r0.apk2024-10-26 06:09 792K 
[   ]gnucobol-3.2-r0.apk2025-07-29 01:02 793K 
[   ]lumina-desktop-coreutils-1.6.2-r0.apk2024-10-26 06:09 794K 
[   ]py3-trimesh-pyc-4.11.1-r0.apk2026-01-27 02:40 795K 
[   ]otrs-doc-6.0.48-r2.apk2024-10-26 06:10 795K 
[   ]komikku-pyc-1.85.0-r0.apk2025-08-22 19:18 798K 
[   ]eiwd-3.10-r0.apk2025-10-10 04:37 799K 
[   ]php82-pecl-xhprof-assets-2.3.10-r0.apk2025-10-24 13:09 800K 
[   ]php81-pecl-xhprof-assets-2.3.10-r0.apk2024-10-26 06:10 801K 
[   ]py3-telegram-bot-pyc-22.5-r0.apk2025-11-20 05:29 802K 
[   ]azure-iot-sdk-c-static-1.11.0-r0.apk2024-10-26 06:08 804K 
[   ]powder-toy-97.0.352-r1.apk2024-10-26 06:10 805K 
[   ]simavr-dev-1.7-r1.apk2024-10-26 06:10 806K 
[   ]py3-python-stdnum-1.20-r0.apk2024-10-26 06:10 806K 
[   ]draco-1.5.7-r2.apk2025-02-18 02:07 806K 
[   ]pulumi-watch-0.1.5-r2.apk2024-10-26 06:10 806K 
[   ]nmap-parse-output-doc-1.5.1-r1.apk2025-05-29 23:00 808K 
[   ]vixl-8.0.0-r0.apk2025-07-16 04:26 808K 
[   ]redhat-fonts-4.1.0-r1.apk2025-08-10 12:02 809K 
[   ]pyradio-pyc-0.9.3.11-r0.apk2024-10-26 06:10 810K 
[   ]lutris-lang-0.5.19-r1.apk2025-09-17 05:08 810K 
[   ]weggli-0.2.4-r1.apk2024-10-26 06:11 813K 
[   ]sc-controller-pyc-0.5.5-r0.apk2025-12-14 19:56 814K 
[   ]yices2-libs-2.6.5-r0.apk2025-03-03 10:04 817K 
[   ]mml-1.0.0-r1.apk2025-09-30 11:41 818K 
[   ]php81-pecl-mongodb-2.1.4-r0.apk2025-10-10 04:38 819K 
[   ]lutris-0.5.19-r1.apk2025-09-17 05:08 819K 
[   ]php82-pecl-mongodb-2.1.4-r0.apk2025-10-24 13:09 819K 
[   ]amber-0.5.1_alpha-r0.apk2026-01-09 02:54 819K 
[   ]git-graph-0.6.0-r0.apk2024-11-26 10:38 821K 
[   ]planner-lang-0.14.92-r1.apk2024-12-09 08:43 825K 
[   ]cimg-3.4.1-r0.apk2024-10-26 06:08 826K 
[   ]geonames-0.3.1-r2.apk2024-10-26 06:09 827K 
[   ]hyfetch-2.0.5-r0.apk2025-11-22 09:33 829K 
[   ]font-fira-code-6.2-r0.apk2024-10-26 06:09 836K 
[   ]rust-script-0.36.0-r0.apk2025-09-07 03:20 836K 
[   ]advancemame-menu-3.9-r4.apk2024-10-26 06:08 837K 
[   ]lizardfs-master-3.13.0-r17.apk2025-06-19 19:44 840K 
[   ]yaru-theme-25.10.3-r0.apk2026-01-06 16:46 840K 
[   ]nmqtt-1.0.7-r0.apk2026-01-25 00:35 842K 
[   ]maxima-doc-5.48.1-r9.apk2025-08-22 21:16 846K 
[   ]visidata-pyc-3.3-r0.apk2025-09-16 00:56 846K 
[   ]lomiri-system-settings-lang-1.3.2-r1.apk2026-01-14 15:08 846K 
[   ]agate-3.3.19-r0.apk2025-09-24 08:13 848K 
[   ]magpie-lang-0.9.4-r0.apk2025-10-17 04:07 849K 
[   ]font-katex-0.16.2-r0.apk2024-10-26 06:09 852K 
[   ]hstdb-2.1.0-r2.apk2024-10-26 06:09 852K 
[   ]gufw-lang-24.04-r3.apk2024-11-20 11:45 855K 
[   ]rezolus-2.11.1-r3.apk2024-10-26 06:10 857K 
[   ]qtile-pyc-0.33.0-r0.apk2025-09-17 18:51 858K 
[   ]cherrytree-lang-1.4.0-r0.apk2025-03-27 04:40 859K 
[   ]silc-client-1.1.11-r18.apk2025-06-30 18:25 859K 
[   ]repowerd-2023.07-r3.apk2025-02-18 02:08 859K 
[   ]kmscon-9.2.1-r0.apk2026-01-10 03:02 864K 
[   ]ndpi-dev-4.10-r0.apk2024-10-26 06:10 867K 
[   ]pyradio-0.9.3.11-r0.apk2024-10-26 06:10 871K 
[   ]libretro-parallel-n64-0_git20220406-r0.apk2024-10-26 06:09 872K 
[   ]libretro-theodore-3.1-r0.apk2024-10-26 06:09 873K 
[   ]smile-2.11.0-r0.apk2025-12-21 22:40 873K 
[   ]boinc-lang-7.24.3-r0.apk2024-10-26 06:08 877K 
[   ]plib-1.8.5-r3.apk2024-10-26 06:10 878K 
[   ]wl-clip-persist-0.5.0-r0.apk2025-10-26 23:28 879K 
[   ]py-spy-0.3.14-r3.apk2024-10-26 06:10 883K 
[   ]mdbook-plantuml-0.8.0-r0.apk2024-10-26 06:10 885K 
[   ]raspberrypi-usbboot-20250227-r0.apk2025-06-09 19:41 888K 
[   ]py3-pyglet-2.1.5-r1.apk2025-08-28 01:47 890K 
[   ]libretro-fuse-0_git20220417-r0.apk2024-10-26 06:09 890K 
[   ]bochs-2.8-r1.apk2025-02-23 02:37 894K 
[   ]py3-apsw-3.51.2.0-r0.apk2026-01-23 18:59 894K 
[   ]rosenpass-0.2.2-r1.apk2025-02-02 05:23 896K 
[   ]mkdotenv-0.4.9-r3.apk2026-01-18 09:42 901K 
[   ]fulcrum-1.9.8-r1.apk2024-10-26 06:09 901K 
[   ]serie-0.5.7-r0.apk2026-01-21 08:08 901K 
[   ]android-translation-layer-dbg-0_git20260106-r0.apk2026-01-08 23:08 902K 
[   ]freshrss-doc-1.28.0-r0.apk2026-01-02 12:39 912K 
[   ]php81-pecl-swoole-6.1.6-r0.apk2025-12-29 07:30 913K 
[   ]pulseview-0.4.2-r8.apk2024-10-26 06:10 916K 
[   ]php82-pecl-swoole-6.1.6-r0.apk2025-12-29 07:30 916K 
[   ]net-predictable-1.5.1-r6.apk2026-01-18 09:42 919K 
[   ]swi-prolog-xpce-9.2.9-r0.apk2024-12-21 21:08 922K 
[   ]kodi-pvr-iptvsimple-21.10.2-r0.apk2025-03-03 03:15 923K 
[   ]libantlr4-dev-4.13.2-r0.apk2024-12-12 22:12 925K 
[   ]naken_asm-0_git20240726-r0.apk2026-01-25 01:01 925K 
[   ]py3-mbedtls-2.10.1-r3.apk2025-05-29 23:00 926K 
[   ]lspmux-0.3.0-r0.apk2025-11-06 01:23 927K 
[   ]py3-litex-hub-pythondata-cpu-cv32e41p-2024.04-r0.apk2024-10-26 06:10 934K 
[   ]macchina-6.4.0-r0.apk2025-06-25 14:45 935K 
[   ]lomiri-trust-store-2.0.2-r14.apk2025-12-19 01:26 936K 
[   ]gloox-dev-1.0.28-r0.apk2024-10-26 06:09 936K 
[   ]py3-cvxpy-pyc-1.2.1-r5.apk2024-10-26 06:10 936K 
[   ]jwt-cli-6.2.0-r0.apk2024-12-15 05:18 939K 
[   ]php81-dev-8.1.34-r1.apk2026-01-14 15:08 939K 
[   ]barrier-2.4.0-r2.apk2025-02-18 02:07 940K 
[   ]homebank-lang-5.9.5-r0.apk2025-10-15 01:54 942K 
[   ]mkdocs-windmill-1.0.5-r4.apk2024-10-26 06:10 944K 
[   ]qsstv-9.5.8-r2.apk2024-10-26 06:10 947K 
[   ]please-0.5.6-r0.apk2025-08-29 19:10 959K 
[   ]grpc-health-check-0.1.1-r3.apk2024-10-26 06:09 965K 
[   ]leptosfmt-0.1.33-r0.apk2025-03-26 22:50 966K 
[   ]libabigail-2.8-r0.apk2025-11-03 06:50 967K 
[   ]php82-dev-8.2.30-r2.apk2026-01-14 15:08 968K 
[   ]lomiri-telephony-service-0.6.2-r2.apk2026-01-15 06:46 970K 
[   ]mdbook-admonish-1.20.0-r0.apk2025-06-17 22:01 971K 
[   ]xed-doc-3.8.4-r0.apk2025-10-23 18:55 971K 
[   ]hwatch-0.3.11-r0.apk2024-10-26 06:09 971K 
[   ]tere-1.6.0-r0.apk2024-10-26 06:10 971K 
[   ]binwalk-3.1.0-r0.apk2025-02-08 02:46 971K 
[   ]comrak-0.50.0-r0.apk2026-01-22 23:39 972K 
[   ]cliphist-0.7.0-r2.apk2026-01-18 09:42 1.0M 
[   ]sshsrv-1.0-r18.apk2026-01-18 09:42 1.0M 
[   ]draw-0.1.1-r19.apk2026-01-18 09:42 1.0M 
[   ]swhkd-1.2.1-r0.apk2024-10-26 06:10 1.0M 
[   ]imgdiff-1.0.2-r32.apk2026-01-18 09:42 1.0M 
[   ]boinc-gui-7.24.3-r0.apk2024-10-26 06:08 1.0M 
[   ]milkytracker-1.04.00-r2.apk2024-10-26 06:10 1.0M 
[   ]flann-dev-1.9.2-r1.apk2025-02-18 02:07 1.0M 
[   ]font-comic-neue-doc-2.51-r0.apk2024-10-26 06:09 1.0M 
[   ]qpdfview-0.5-r2.apk2025-01-30 06:49 1.0M 
[   ]prjtrellis-db-machxo2-0_git20230929-r0.apk2024-10-26 06:10 1.0M 
[   ]sturmreader-3.7.2-r2.apk2025-09-07 03:20 1.0M 
[   ]xendmail-0.4.4-r0.apk2025-08-06 19:51 1.0M 
[   ]laze-0.1.38-r0.apk2025-07-23 15:04 1.0M 
[   ]watchbind-0.2.1-r1.apk2024-10-26 06:11 1.0M 
[   ]crun-vm-0.3.0-r0.apk2024-11-12 22:41 1.0M 
[   ]snapper-0.13.0-r0.apk2025-09-17 05:45 1.0M 
[   ]elementary-photos-lang-8.0.1-r2.apk2026-01-22 02:51 1.0M 
[   ]arahli-0.1.5-r0.apk2026-01-28 02:10 1.0M 
[   ]rollup-4.55.2-r0.apk2026-01-23 10:42 1.0M 
[   ]bzmenu-0.2.1-r3.apk2025-08-10 12:01 1.0M 
[   ]yarn-berry-4.9.1-r0.apk2025-04-18 05:34 1.0M 
[   ]pest-language-server-0.3.9-r0.apk2024-10-26 06:10 1.0M 
[   ]halp-0.2.0-r0.apk2024-10-26 06:09 1.0M 
[   ]timeshift-lang-25.12.4-r0.apk2026-01-10 03:02 1.0M 
[   ]ol-2.6-r0.apk2025-05-04 07:03 1.0M 
[   ]py3-beartype-0.22.9-r0.apk2025-12-16 05:33 1.0M 
[   ]volatility3-2.26.2-r0.apk2025-11-21 08:27 1.0M 
[   ]libretro-genesis-plus-gx-0_git20230503-r0.apk2024-10-26 06:09 1.0M 
[   ]xonsh-pyc-0.19.9-r0.apk2025-11-01 00:29 1.0M 
[   ]brial-1.2.11-r4.apk2024-10-26 06:08 1.0M 
[   ]kodi-inputstream-adaptive-21.5.9-r0.apk2025-03-03 03:15 1.0M 
[   ]quodlibet-4.7.1-r0.apk2025-09-17 05:53 1.0M 
[   ]swi-prolog-xpce-doc-9.2.9-r0.apk2024-12-21 21:08 1.0M 
[   ]cargo-update-18.0.0-r0.apk2025-10-28 18:25 1.0M 
[   ]qflipper-gui-1.3.3-r1.apk2024-10-26 06:10 1.1M 
[   ]dvisvgm-3.4.4-r0.apk2025-05-19 09:43 1.1M 
[   ]supersonik-0.1.0-r3.apk2025-12-05 05:50 1.1M 
[   ]gearman-dev-1.1.22-r0.apk2025-09-07 03:20 1.1M 
[   ]flamelens-0.3.1-r0.apk2025-05-04 07:03 1.1M 
[   ]lomiri-system-settings-1.3.2-r1.apk2026-01-14 15:08 1.1M 
[   ]newlib-esp-xtensa-none-elf-0_git20240109-r0.apk2024-10-26 06:10 1.1M 
[   ]cluster-glue-dev-1.0.12-r5.apk2024-10-26 06:08 1.1M 
[   ]tailspin-5.5.0-r0.apk2025-10-28 17:57 1.1M 
[   ]keydb-6.3.4-r0.apk2024-10-26 06:09 1.1M 
[   ]prjtrellis-db-machxo3-0_git20230929-r0.apk2024-10-26 06:10 1.1M 
[   ]tartube-pyc-2.5.0-r2.apk2025-12-09 23:12 1.1M 
[   ]libmysofa-tools-1.3.2-r0.apk2024-10-26 06:09 1.1M 
[   ]qt6-qtgraphs-6.10.1-r0.apk2025-12-11 03:28 1.1M 
[   ]fava-1.28-r0.apk2024-10-26 06:09 1.1M 
[   ]limnoria-20240828-r0.apk2024-10-26 06:09 1.1M 
[   ]cutechess-1.3.1-r0.apk2024-10-26 06:08 1.1M 
[   ]lizardfs-client-3.13.0-r17.apk2025-06-19 19:44 1.1M 
[   ]fxfloorboard-katana-mk2-doc-20240515-r1.apk2024-10-26 06:09 1.1M 
[   ]taskwarrior-tui-0.26.3-r0.apk2025-03-26 22:51 1.1M 
[   ]cartero-0.2.2-r0.apk2025-10-13 10:57 1.1M 
[   ]mdbook-katex-0.9.4-r0.apk2025-05-18 03:37 1.1M 
[   ]xed-3.8.4-r0.apk2025-10-23 18:55 1.1M 
[   ]dewduct-0.2.3-r0.apk2024-10-26 06:08 1.1M 
[   ]kismet-logtools-0.202509.1-r0.apk2025-10-10 04:38 1.1M 
[   ]py3-litex-hub-modules-pyc-2024.04-r0.apk2024-10-26 06:10 1.1M 
[   ]electron-tasje-0.7.5-r0.apk2026-01-28 04:28 1.1M 
[   ]kbs2-0.7.3-r0.apk2025-07-30 18:28 1.1M 
[   ]speedcrunch-0.12-r3.apk2024-10-26 06:10 1.1M 
[   ]baresip-4.1.0-r1.apk2026-01-24 23:59 1.1M 
[   ]elementary-photos-8.0.1-r2.apk2026-01-22 02:51 1.1M 
[   ]circuslinux-data-1.0.3-r1.apk2024-10-26 06:08 1.1M 
[   ]ocfs2-tools-1.8.7-r4.apk2024-10-26 06:10 1.1M 
[   ]lv_font_conv-1.5.3-r0.apk2025-08-20 06:54 1.1M 
[   ]lutris-pyc-0.5.19-r1.apk2025-09-17 05:08 1.1M 
[   ]yaru-icon-theme-bark-25.10.3-r0.apk2026-01-06 16:46 1.1M 
[   ]flawz-0.3.0-r0.apk2024-11-04 08:06 1.1M 
[   ]go-mtpfs-1.0.0-r34.apk2026-01-18 09:42 1.1M 
[   ]xwayland-satellite-0.8-r0.apk2026-01-18 09:42 1.1M 
[   ]ecasound-dev-2.9.3-r4.apk2025-02-25 08:33 1.1M 
[   ]yaru-icon-theme-olive-25.10.3-r0.apk2026-01-06 16:46 1.1M 
[   ]yaru-icon-theme-magenta-25.10.3-r0.apk2026-01-06 16:46 1.1M 
[   ]py3-sphinx-theme-bootstrap-0.8.1-r4.apk2024-10-26 06:10 1.2M 
[   ]yaru-icon-theme-viridian-25.10.3-r0.apk2026-01-06 16:46 1.2M 
[   ]py3-aiosmb-pyc-0.4.14-r0.apk2025-11-03 17:49 1.2M 
[   ]repgrep-0.15.0-r0.apk2024-10-26 06:10 1.2M 
[   ]yaru-icon-theme-prussiangreen-25.10.3-r0.apk2026-01-06 16:46 1.2M 
[   ]yaru-icon-theme-yellow-25.10.3-r0.apk2026-01-06 16:46 1.2M 
[   ]up-0.4-r35.apk2026-01-18 09:42 1.2M 
[   ]openterface-qt-0.5.11-r0.apk2026-01-23 10:43 1.2M 
[   ]pcl-libs-1.15.1-r0.apk2026-01-18 13:32 1.2M 
[   ]yaru-icon-theme-blue-25.10.3-r0.apk2026-01-06 16:46 1.2M 
[   ]ffmpeg4-libavformat-4.4.6-r0.apk2026-01-04 12:24 1.2M 
[   ]yaru-icon-theme-wartybrown-25.10.3-r0.apk2026-01-06 16:46 1.2M 
[   ]draco-tools-1.5.7-r2.apk2025-02-18 02:07 1.2M 
[   ]yaru-icon-theme-sage-25.10.3-r0.apk2026-01-06 16:46 1.2M 
[   ]captive-browser-0_git20210801-r3.apk2026-01-18 09:42 1.2M 
[   ]ktx-4.3.2-r1.apk2025-06-10 13:49 1.2M 
[   ]duf-0.9.1-r3.apk2026-01-18 09:42 1.2M 
[   ]sqlmap-pyc-1.10-r0.apk2026-01-13 04:29 1.2M 
[   ]cargo-machete-0.9.1-r0.apk2025-08-18 11:38 1.2M 
[   ]py3-textual-pyc-5.3.0-r0.apk2025-10-27 20:05 1.2M 
[   ]yaru-icon-theme-purple-25.10.3-r0.apk2026-01-06 16:46 1.2M 
[   ]prjtrellis-1.4-r2.apk2024-10-26 06:10 1.2M 
[   ]frescobaldi-pyc-3.3.0-r1.apk2024-10-26 06:09 1.2M 
[   ]mir-test-tools-2.25.2-r0.apk2025-12-19 01:26 1.2M 
[   ]yaru-icon-theme-red-25.10.3-r0.apk2026-01-06 16:46 1.2M 
[   ]yaru-sounds-25.10.3-r0.apk2026-01-06 16:46 1.2M 
[   ]compiz-lang-0.9.14.2-r13.apk2025-11-29 11:01 1.2M 
[   ]hctl-0.2.7-r0.apk2025-05-14 11:04 1.2M 
[   ]emulationstation-2.11.2-r1.apk2024-10-26 06:09 1.2M 
[   ]limnoria-pyc-20240828-r0.apk2024-10-26 06:09 1.2M 
[   ]rustls-ffi-0.15.0-r0.apk2025-12-27 09:37 1.2M 
[   ]py3-pyglm-2.7.3-r0.apk2024-11-06 20:41 1.2M 
[   ]httplz-2.2.0-r0.apk2025-05-19 02:38 1.2M 
[   ]pwndbg-pyc-2025.10.20-r0.apk2026-01-01 01:55 1.2M 
[   ]pegasus-frontend-16_alpha-r0.apk2024-10-26 06:10 1.2M 
[   ]orage-lang-4.21.0-r0.apk2026-01-08 13:33 1.2M 
[   ]fpc-doc-3.2.2-r4.apk2024-10-26 06:09 1.2M 
[   ]volatility3-pyc-2.26.2-r0.apk2025-11-21 08:27 1.3M 
[   ]baikal-0.10.1-r1.apk2025-05-28 08:41 1.3M 
[   ]ndpi-4.10-r0.apk2024-10-26 06:10 1.3M 
[   ]sc-controller-0.5.5-r0.apk2025-12-14 19:56 1.3M 
[   ]dcmtk-3.7.0-r0.apk2025-12-29 07:54 1.3M 
[   ]pop-icon-theme-3.5.1-r0.apk2025-03-26 22:51 1.3M 
[   ]chess-tui-2.0.0-r0.apk2025-12-13 12:11 1.3M 
[   ]lomiri-ui-toolkit-1.3.5902-r0.apk2026-01-27 00:57 1.3M 
[   ]pulsar-client-cpp-3.7.1-r0.apk2025-06-13 02:22 1.3M 
[   ]primesieve-dev-12.10-r0.apk2025-11-26 06:07 1.3M 
[   ]octoprint-pyc-1.11.5-r0.apk2026-01-18 18:01 1.3M 
[   ]perl-cpansa-db-20250807.001-r0.apk2025-09-02 00:16 1.3M 
[   ]snippets-ls-0.0.4_git20240617-r11.apk2026-01-18 09:42 1.3M 
[   ]makeclapman-2.4.4-r11.apk2026-01-18 09:42 1.3M 
[   ]onionshare-desktop-2.6.3-r1.apk2025-11-17 10:39 1.3M 
[   ]beancount-language-server-1.4.1-r0.apk2025-08-03 21:41 1.3M 
[   ]mapserver-8.4.1-r1.apk2025-12-05 05:49 1.3M 
[   ]gimp-plugin-gmic-3.6.0-r2.apk2026-01-04 03:39 1.3M 
[   ]gnu-apl-1.9-r0.apk2024-10-26 06:09 1.3M 
[   ]ktx-libs-4.3.2-r1.apk2025-06-10 13:49 1.3M 
[   ]reaction-2.2.1-r0.apk2025-09-24 08:13 1.3M 
[   ]kodi-game-libretro-mame2003-0.78.0.54-r0.apk2024-10-26 06:09 1.3M 
[   ]noggin-0.1-r24.apk2026-01-18 09:42 1.3M 
[   ]yaru-icon-theme-mate-25.10.3-r0.apk2026-01-06 16:46 1.3M 
[   ]rathole-0.5.0-r0.apk2024-10-26 06:10 1.3M 
[   ]mobroute-doc-0.10.0-r6.apk2026-01-18 09:42 1.3M 
[   ]lowjs-1.6.2-r2.apk2024-10-26 06:09 1.3M 
[   ]budgie-desktop-10.9.2-r0.apk2025-10-17 04:07 1.3M 
[   ]sblg-doc-0.5.11-r0.apk2024-10-26 06:10 1.3M 
[   ]chimerautils-15.0.3-r0.apk2026-01-11 12:18 1.3M 
[   ]nwg-look-1.0.6-r2.apk2026-01-18 09:42 1.4M 
[   ]ckb-next-0.6.2-r1.apk2025-09-07 03:20 1.4M 
[   ]font-openmoji-16.0.0-r0.apk2025-08-11 17:31 1.4M 
[   ]quark-0.5-r0.apk2025-10-12 03:43 1.4M 
[   ]faust-dev-2.79.3-r0.apk2025-06-08 04:01 1.4M 
[   ]gpsbabel-1.10.0-r0.apk2025-11-07 00:14 1.4M 
[   ]lomiri-content-hub-doc-2.2.2-r0.apk2026-01-26 08:15 1.4M 
[   ]ijq-1.2.0-r3.apk2026-01-18 09:42 1.4M 
[   ]dotenv-linter-4.0.0-r0.apk2025-11-23 03:24 1.4M 
[   ]lout-3.42.2-r0.apk2024-10-26 06:09 1.4M 
[   ]drogon-1.9.4-r2.apk2025-05-22 17:53 1.4M 
[   ]dart-sass-1.97.2-r0.apk2026-01-10 08:25 1.4M 
[   ]megazeux-2.93d-r0.apk2025-06-11 00:45 1.4M 
[   ]dartaotruntime-3.10.3-r1.apk2026-01-14 15:08 1.4M 
[   ]piping-server-0.18.0-r0.apk2024-10-26 06:10 1.4M 
[   ]mpv-sponsorblock-2.2.0-r0.apk2025-06-17 02:16 1.4M 
[   ]qgis-grass-3.44.5-r0.apk2025-12-05 05:50 1.4M 
[   ]quodlibet-lang-4.7.1-r0.apk2025-09-17 05:53 1.4M 
[   ]spike-1.1.0-r0.apk2024-10-26 06:10 1.4M 
[   ]godini-1.0.0-r6.apk2026-01-18 09:42 1.4M 
[   ]mame-lang-0.251-r0.apk2024-10-26 06:10 1.4M 
[   ]hfst-3.16.2-r1.apk2026-01-14 15:08 1.4M 
[   ]keystone-0.9.2-r6.apk2024-10-26 06:09 1.4M 
[   ]wlroots0.17-dbg-0.17.4-r3.apk2025-08-13 19:05 1.4M 
[   ]legume-1.4.2-r15.apk2026-01-18 09:42 1.4M 
[   ]libretro-beetle-saturn-0_git20220417-r0.apk2024-10-26 06:09 1.4M 
[   ]ibus-typing-booster-pyc-2.29.0-r0.apk2025-12-17 02:13 1.5M 
[   ]draco-static-1.5.7-r2.apk2025-02-18 02:07 1.5M 
[   ]ffmpeg4-libavfilter-4.4.6-r0.apk2026-01-04 12:24 1.5M 
[   ]freshrss-themes-1.28.0-r0.apk2026-01-02 12:39 1.5M 
[   ]font-andika-six-6.210-r0.apk2025-09-28 22:21 1.5M 
[   ]nextpnr-gowin-0.7-r0.apk2024-10-26 06:10 1.5M 
[   ]openocd-riscv-0_git20230104-r2.apk2024-10-26 06:10 1.5M 
[   ]cadence-0.9.2-r1.apk2025-10-10 04:37 1.5M 
[   ]honeybee-0.2.0-r1.apk2025-07-09 10:15 1.5M 
[   ]dstask-0.27-r5.apk2026-01-18 09:42 1.5M 
[   ]gfan-0.6.2-r1.apk2024-10-26 06:09 1.5M 
[   ]xsane-0.999-r2.apk2024-10-26 06:11 1.5M 
[   ]buildbot-pyc-4.3.0-r1.apk2026-01-24 02:51 1.5M 
[   ]elementary-theme-8.1.0-r0.apk2025-01-13 07:47 1.5M 
[   ]wlroots0.18-dbg-0.18.3-r0.apk2025-11-29 10:54 1.5M 
[   ]mage-1.13.0-r23.apk2025-05-14 08:59 1.5M 
[   ]libsemigroups-static-2.7.3-r1.apk2024-12-15 06:38 1.5M 
[   ]stam-0.12.2-r0.apk2025-09-22 23:09 1.5M 
[   ]warzone2100-doc-4.5.5-r5.apk2025-12-21 02:22 1.5M 
[   ]ffsend-0.2.76-r4.apk2024-10-26 06:09 1.5M 
[   ]nwg-bar-0.1.6-r16.apk2026-01-18 09:42 1.5M 
[   ]boinc-7.24.3-r0.apk2024-10-26 06:08 1.5M 
[   ]catppuccin-whiskers-2.5.1-r0.apk2025-11-30 05:59 1.5M 
[   ]kjv-0_git20221103-r0.apk2024-10-26 06:09 1.5M 
[   ]libabigail-dev-2.8-r0.apk2025-11-03 06:50 1.5M 
[   ]py3-tokenizers-0.21.2-r0.apk2025-06-30 18:25 1.5M 
[   ]neocmakelsp-0.9.1-r0.apk2026-01-10 17:59 1.5M 
[   ]siril-lang-1.2.6-r5.apk2025-09-28 08:15 1.6M 
[   ]gnu-apl-doc-1.9-r0.apk2024-10-26 06:09 1.6M 
[   ]nicotine-plus-3.3.10-r0.apk2025-05-04 07:03 1.6M 
[   ]sfizz-1.2.3-r1.apk2025-10-03 01:07 1.6M 
[   ]protoc-gen-bq-schema-3.1.0-r1.apk2026-01-18 09:42 1.6M 
[   ]voikko-fi-2.5-r0.apk2024-10-26 06:11 1.6M 
[   ]rustscan-2.4.1-r0.apk2025-12-22 13:56 1.6M 
[   ]igrep-1.2.0-r0.apk2024-10-26 06:09 1.6M 
[   ]antimicrox-3.5.1-r0.apk2025-06-14 02:19 1.6M 
[   ]amule-lang-2.3.3-r13.apk2024-10-26 06:08 1.6M 
[   ]asdf-0.18.0-r6.apk2026-01-18 09:42 1.6M 
[   ]ouch-0.6.1-r0.apk2025-05-28 18:37 1.6M 
[   ]armagetronad-0.2.9.1.1-r0.apk2024-10-26 06:08 1.6M 
[   ]zydis-doc-4.1.0-r0.apk2024-10-26 06:11 1.6M 
[   ]youki-0.5.7-r0.apk2026-01-06 07:15 1.6M 
[   ]yamldiff-0.3.0-r4.apk2026-01-18 09:42 1.6M 
[   ]scap-workbench-doc-1.2.1-r3.apk2024-10-26 06:10 1.6M 
[   ]flann-1.9.2-r1.apk2025-02-18 02:07 1.6M 
[   ]aqemu-0.9.4-r3.apk2024-10-26 06:08 1.6M 
[   ]hare-gi-0.1.0-r0.apk2025-08-26 04:30 1.6M 
[   ]wl-gammarelay-0.1.3-r5.apk2026-01-18 09:42 1.6M 
[   ]genact-1.5.1-r0.apk2026-01-27 02:25 1.6M 
[   ]pomo-0.8.1-r29.apk2026-01-18 09:42 1.6M 
[   ]chim-1.1.2-r1.apk2024-10-26 06:08 1.6M 
[   ]freetube-0.23.13-r0.apk2026-01-24 08:55 1.6M 
[   ]apmpkg-1.5.1-r3.apk2024-10-26 06:08 1.6M 
[   ]keystone-python-0.9.2-r6.apk2024-10-26 06:09 1.6M 
[   ]py3-pyglet-pyc-2.1.5-r1.apk2025-08-28 01:47 1.6M 
[   ]chocolate-doom-3.1.1-r0.apk2025-08-19 12:30 1.6M 
[   ]empede-0.2.3-r0.apk2024-10-26 06:09 1.6M 
[   ]watershot-0.2.0-r0.apk2024-10-26 06:11 1.6M 
[   ]libretro-flycast-0_git20220406-r4.apk2025-12-21 02:22 1.6M 
[   ]freshrss-1.28.0-r0.apk2026-01-02 12:39 1.7M 
[   ]brial-dev-1.2.11-r4.apk2024-10-26 06:08 1.7M 
[   ]gmic-qt-3.6.0-r2.apk2026-01-04 03:39 1.7M 
[   ]mint-y-theme-gtk4-2.3.7-r1.apk2026-01-25 01:18 1.7M 
[   ]dcmtk-dev-3.7.0-r0.apk2025-12-29 07:54 1.7M 
[   ]php81-embed-8.1.34-r1.apk2026-01-14 15:08 1.7M 
[   ]nwg-dock-0.4.3-r6.apk2026-01-18 09:42 1.7M 
[   ]protoc-gen-js-4.0.1-r0.apk2025-11-19 21:35 1.7M 
[   ]php81-apache2-8.1.34-r1.apk2026-01-14 15:08 1.7M 
[   ]openocd-git-0_git20251018-r1.apk2025-10-21 07:14 1.7M 
[   ]php81-cgi-8.1.34-r1.apk2026-01-14 15:08 1.7M 
[   ]pwntools-pyc-4.15.0-r0.apk2026-01-01 01:55 1.7M 
[   ]goxel-0.15.1-r0.apk2024-10-26 06:09 1.7M 
[   ]noggin-model-lightweight-0.1-r0.apk2024-10-26 06:10 1.7M 
[   ]fheroes2-1.1.13-r0.apk2025-12-23 03:41 1.7M 
[   ]sydbox-test-3.45.2-r0.apk2025-12-03 13:47 1.7M 
[   ]cocogitto-6.5.0-r0.apk2025-11-03 05:21 1.7M 
[   ]php81-litespeed-8.1.34-r1.apk2026-01-14 15:08 1.7M 
[   ]mkcert-1.4.4-r25.apk2026-01-18 09:42 1.7M 
[   ]glslviewer-3.2.4-r2.apk2025-08-29 04:44 1.7M 
[   ]bacon-3.18.0-r0.apk2025-10-01 04:38 1.7M 
[   ]pure-data-0.55.2-r0.apk2025-06-30 18:25 1.7M 
[   ]sentrypeer-4.0.4-r0.apk2025-03-26 22:51 1.7M 
[   ]php81-8.1.34-r1.apk2026-01-14 15:08 1.7M 
[   ]lutgen-1.0.1-r0.apk2025-11-20 07:11 1.7M 
[   ]php82-embed-8.2.30-r2.apk2026-01-14 15:08 1.7M 
[   ]libigraph-1.0.1-r0.apk2025-12-31 00:36 1.7M 
[   ]php82-apache2-8.2.30-r2.apk2026-01-14 15:08 1.7M 
[   ]php82-cgi-8.2.30-r2.apk2026-01-14 15:08 1.7M 
[   ]tomcat9-doc-9.0.112-r0.apk2025-12-02 07:49 1.7M 
[   ]antibody-6.1.1-r34.apk2026-01-18 09:42 1.7M 
[   ]php82-litespeed-8.2.30-r2.apk2026-01-14 15:08 1.7M 
[   ]smb4k-4.0.5-r0.apk2026-01-11 12:09 1.7M 
[   ]php81-phpdbg-8.1.34-r1.apk2026-01-14 15:08 1.7M 
[   ]php81-fpm-8.1.34-r1.apk2026-01-14 15:08 1.7M 
[   ]android-build-tools-15.0-r1.apk2025-12-12 09:06 1.7M 
[   ]66-dev-0.8.2.1-r0.apk2025-06-04 06:11 1.7M 
[   ]daktilo-0.6.0-r0.apk2024-10-26 06:08 1.7M 
[   ]php82-8.2.30-r2.apk2026-01-14 15:08 1.7M 
[   ]grcov-0.8.20-r0.apk2024-11-11 21:02 1.7M 
[   ]xmoto-0.6.3-r0.apk2025-10-04 04:02 1.8M 
[   ]percona-toolkit-3.5.4-r1.apk2024-10-26 06:10 1.8M 
[   ]virtualgl-3.1.4-r0.apk2025-10-10 04:38 1.8M 
[   ]memray-1.19.1-r0.apk2025-11-09 02:41 1.8M 
[   ]magpie-0.9.4-r0.apk2025-10-17 04:07 1.8M 
[   ]numbat-1.16.0-r0.apk2025-08-20 00:10 1.8M 
[   ]runst-0.2.0-r0.apk2025-11-17 10:39 1.8M 
[   ]spotify-tui-0.25.0-r2.apk2024-10-26 06:10 1.8M 
[   ]eludris-0.3.3-r1.apk2024-10-26 06:09 1.8M 
[   ]php82-phpdbg-8.2.30-r2.apk2026-01-14 15:08 1.8M 
[   ]php82-fpm-8.2.30-r2.apk2026-01-14 15:08 1.8M 
[   ]emmylua-check-0.19.0-r0.apk2026-01-24 23:54 1.8M 
[   ]xmpp-dns-0.2.4-r30.apk2026-01-18 09:42 1.8M 
[   ]py3-litex-hub-pythondata-cpu-ibex-2024.04-r0.apk2024-10-26 06:10 1.8M 
[   ]crispy-doom-7.1-r0.apk2025-09-24 13:49 1.8M 
[   ]yubikey-agent-0.1.6-r18.apk2026-01-18 09:42 1.8M 
[   ]gyosu-0.2.0-r4.apk2026-01-18 09:42 1.8M 
[   ]qgis-server-3.44.5-r0.apk2025-12-05 05:50 1.8M 
[   ]quodlibet-pyc-4.7.1-r0.apk2025-09-17 05:53 1.8M 
[   ]efl-dev-1.28.1-r2.apk2025-03-26 22:50 1.8M 
[   ]fheroes2-lang-1.1.13-r0.apk2025-12-23 03:41 1.8M 
[   ]tintin-2.02.51-r0.apk2025-11-24 23:41 1.8M 
[   ]srb2-2.2.15-r2.apk2025-12-21 02:22 1.8M 
[   ]tui-journal-0.10.0-r0.apk2024-10-26 06:11 1.8M 
[   ]stgit-2.4.7-r1.apk2024-10-26 06:10 1.8M 
[   ]hfst-libs-3.16.2-r1.apk2026-01-14 15:08 1.8M 
[   ]proverif-2.05-r1.apk2026-01-12 09:39 1.8M 
[   ]gobang-0.1.0_alpha5-r1.apk2024-10-26 06:09 1.9M 
[   ]edward-1.1.0-r0.apk2024-10-26 06:09 1.9M 
[   ]psi-plus-plugins-1.5.2096-r0.apk2025-09-30 23:50 1.9M 
[   ]ovn-dev-25.09.2-r0.apk2025-12-15 09:05 1.9M 
[   ]sydbox-syd-3.45.2-r0.apk2025-12-03 13:47 1.9M 
[   ]android-apkeep-0.17.0-r0.apk2024-10-26 06:08 1.9M 
[   ]decoder-0.7.0-r0.apk2025-04-11 00:26 1.9M 
[   ]py3-pyinstaller-6.6.0-r0.apk2024-10-26 06:10 1.9M 
[   ]so-0.4.10-r0.apk2024-10-26 06:10 1.9M 
[   ]metadata-cleaner-doc-2.5.6-r0.apk2025-02-02 03:09 1.9M 
[   ]vidcutter-pyc-6.0.5.3-r0.apk2024-10-26 06:11 1.9M 
[   ]charta-0.8.2-r2.apk2026-01-18 09:42 1.9M 
[   ]openocd-esp32-0_git20250707-r2.apk2025-10-21 07:14 1.9M 
[   ]ovn-25.09.2-r0.apk2025-12-15 09:05 1.9M 
[   ]pwvucontrol-dbg-0.5.0-r0.apk2025-10-21 07:14 1.9M 
[   ]tachyon-scenes-0.99_beta6-r2.apk2025-12-11 03:38 1.9M 
[   ]py3-litex-hub-pythondata-cpu-cv32e40p-2024.04-r0.apk2024-10-26 06:10 1.9M 
[   ]alacritty-graphics-0.16.1-r0.apk2025-12-18 11:02 1.9M 
[   ]gmsh-doc-4.15.0-r0.apk2025-11-01 08:52 1.9M 
[   ]wazero-1.9.0-r8.apk2026-01-18 09:42 1.9M 
[   ]openfpgaloader-0.11.0-r0.apk2024-10-26 06:10 1.9M 
[   ]trippy-0.13.0-r0.apk2025-05-19 10:20 1.9M 
[   ]calibre-doc-8.16.2-r0.apk2026-01-29 10:43 2.0M 
[   ]solarus-engine-1.7.0-r2.apk2025-07-23 15:04 2.0M 
[   ]teapot-tools-0.4.2-r2.apk2024-10-26 06:10 2.0M 
[   ]mint-y-theme-gtk3-2.3.7-r1.apk2026-01-25 01:18 2.0M 
[   ]jackdaw-0.3.1-r2.apk2025-05-29 23:00 2.0M 
[   ]wiki-tui-0.9.1-r0.apk2025-11-03 05:08 2.0M 
[   ]homebank-5.9.5-r0.apk2025-10-15 01:54 2.0M 
[   ]sqruff-0.25.26-r0.apk2025-04-14 07:42 2.0M 
[   ]dacnis-0.2.1-r0.apk2026-01-28 02:13 2.0M 
[   ]font-stix-otf-2.13-r0.apk2024-10-26 06:09 2.0M 
[   ]authenticator-rs-0.8.6-r0.apk2025-09-02 05:29 2.0M 
[   ]icingaweb2-module-businessprocess-doc-2.5.2-r0.apk2025-08-14 02:54 2.0M 
[   ]lomiri-location-service-3.3.0-r6.apk2026-01-16 05:17 2.0M 
[   ]musikcube-3.0.5-r0.apk2025-09-28 08:15 2.0M 
[   ]wifitui-0.10.0-r0.apk2026-01-27 01:22 2.1M 
[   ]stalwart-cli-0.15.4-r3.apk2026-01-22 12:50 2.1M 
[   ]swi-prolog-doc-9.2.9-r0.apk2024-12-21 21:08 2.1M 
[   ]font-monaspace-krypton-1.101-r0.apk2024-10-26 06:09 2.1M 
[   ]commit-lsp-0.1.0-r0.apk2025-05-08 23:22 2.1M 
[   ]ergo-ldap-0.0.1-r23.apk2026-01-18 09:42 2.1M 
[   ]xed-lang-3.8.4-r0.apk2025-10-23 18:55 2.1M 
[   ]protoc-gen-go-grpc-1.77.0-r1.apk2026-01-18 09:42 2.1M 
[   ]sssd-2.11.1-r2.apk2025-11-21 08:27 2.1M 
[   ]apx-3.0.1-r0.apk2026-01-23 03:14 2.1M 
[   ]bootloose-0.7.1-r17.apk2026-01-18 09:42 2.1M 
[   ]satellite-1.0.0-r34.apk2026-01-18 09:42 2.1M 
[   ]cpu-x-5.2.0-r1.apk2025-05-06 09:11 2.1M 
[   ]simgear-2024.1.1-r0.apk2025-03-05 11:16 2.1M 
[   ]cargo-generate-0.23.5-r0.apk2025-10-10 04:37 2.1M 
[   ]prjtrellis-db-ecp5-0_git20230929-r0.apk2024-10-26 06:10 2.1M 
[   ]font-monaspace-neon-1.101-r0.apk2024-10-26 06:09 2.1M 
[   ]piler-1.4.7-r0.apk2025-01-16 21:12 2.1M 
[   ]hashcat-doc-6.2.6-r0.apk2024-10-26 06:09 2.1M 
[   ]yices2-2.6.5-r0.apk2025-03-03 10:04 2.2M 
[   ]vice-doc-3.9-r3.apk2026-01-10 07:12 2.2M 
[   ]librespot-0.8.0-r0.apk2025-11-20 05:26 2.2M 
[   ]emmylua-doc-cli-0.19.0-r0.apk2026-01-24 23:54 2.2M 
[   ]kile-3.0_beta4-r0.apk2025-10-28 01:05 2.2M 
[   ]protoc-gen-go-1.36.11-r1.apk2026-01-18 09:42 2.2M 
[   ]certstrap-1.3.0-r30.apk2026-01-18 09:42 2.2M 
[   ]primecount-dev-7.20-r0.apk2025-11-26 06:07 2.2M 
[   ]py3-litex-hub-pythondata-software-compiler_rt-2024.04-r0.apk2024-10-26 06:10 2.2M 
[   ]bitritter-0.1.1-r0.apk2024-10-26 06:08 2.2M 
[   ]font-monaspace-argon-1.101-r0.apk2024-10-26 06:09 2.2M 
[   ]resources-1.9.1-r1.apk2026-01-28 22:00 2.2M 
[   ]rss-email-0.5.1-r0.apk2025-08-10 22:21 2.2M 
[   ]darkradiant-doc-3.9.0-r1.apk2026-01-10 07:12 2.2M 
[   ]ssh-get-id-0.2.0-r1.apk2026-01-18 09:42 2.2M 
[   ]proverif-interact-2.05-r1.apk2026-01-12 09:39 2.3M 
[   ]monetdb-11.33.11-r4.apk2024-10-26 06:10 2.3M 
[   ]hurl-7.1.0-r0.apk2025-12-06 05:41 2.3M 
[   ]protoc-gen-gorm-1.1.5-r1.apk2026-01-18 09:42 2.3M 
[   ]faircamp-1.7.0-r1.apk2026-01-13 10:13 2.3M 
[   ]libretro-ppsspp-0_git20210516-r15.apk2025-04-11 07:50 2.3M 
[   ]ircdog-0.5.4-r11.apk2026-01-18 09:42 2.3M 
[   ]avahi2dns-0.1.0-r9.apk2026-01-20 13:09 2.3M 
[   ]mir-2.25.2-r0.apk2025-12-19 01:26 2.3M 
[   ]secsipidx-libs-1.3.2-r18.apk2026-01-18 09:42 2.3M 
[   ]font-monaspace-xenon-1.101-r0.apk2024-10-26 06:09 2.3M 
[   ]goreman-0.3.15-r19.apk2026-01-18 09:42 2.3M 
[   ]imapgoose-0.4.1-r2.apk2026-01-18 09:42 2.3M 
[   ]aprilsh-server-0.7.12-r11.apk2026-01-18 09:42 2.4M 
[   ]rustdesk-server-1.1.10.3-r0.apk2024-10-26 06:10 2.4M 
[   ]libmdbx-dbg-0.11.8-r0.apk2024-10-26 06:09 2.4M 
[   ]ipp-usb-0.9.30-r6.apk2026-01-18 09:42 2.4M 
[   ]twinkle-1.10.3-r3.apk2025-01-05 08:55 2.4M 
[   ]zulip-desktop-5.12.2-r0.apk2025-11-21 20:56 2.4M 
[   ]gnome-mahjongg-49.1.1-r0.apk2026-01-28 04:03 2.4M 
[   ]flutter-developer-3.38.4-r2.apk2026-01-14 15:08 2.4M 
[   ]py3-litex-hub-pythondata-cpu-vexriscv_smp-2024.04-r0.apk2024-10-26 06:10 2.4M 
[   ]docker-volume-local-persist-1.3.0-r39.apk2026-01-18 09:42 2.4M 
[   ]dlib-dev-20.0-r0.apk2026-01-18 09:42 2.4M 
[   ]apostrophe-revealjs-5.2.1-r0.apk2025-07-03 21:58 2.4M 
[   ]pgcat-1.2.0-r1.apk2025-01-02 04:38 2.4M 
[   ]py3-sphinx-theme-guzzle-0.7.11-r7.apk2024-10-26 06:10 2.4M 
[   ]aports-glmr-0.2-r34.apk2026-01-18 09:42 2.5M 
[   ]mmar-0.2.5-r1.apk2025-05-14 09:00 2.5M 
[   ]tty-proxy-0.0.2-r34.apk2026-01-18 09:42 2.5M 
[   ]qownnotes-25.12.5-r0.apk2025-12-15 07:26 2.5M 
[   ]py3-seqdiag-3.0.0-r5.apk2024-10-26 06:10 2.5M 
[   ]rizin-cutter-2.4.1-r1.apk2026-01-08 13:33 2.5M 
[   ]mailsec-check-0_git20210729-r32.apk2026-01-18 09:42 2.5M 
[   ]py3-swagger-ui-bundle-1.1.0-r1.apk2024-10-26 06:10 2.5M 
[   ]wayfire-0.9.0-r0.apk2025-02-28 07:17 2.5M 
[   ]tangctl-0_git20241007-r10.apk2026-01-18 09:42 2.5M 
[   ]libgdcm-3.2.2-r3.apk2025-12-02 16:55 2.5M 
[   ]py3-blockdiag-tests-3.0.0-r6.apk2025-01-11 03:19 2.5M 
[   ]botan2-libs-2.19.5-r0.apk2025-05-29 21:14 2.5M 
[   ]eclipse-ecj-4.37-r0.apk2025-09-23 00:04 2.5M 
[   ]tmpl-0.4.0-r17.apk2026-01-18 09:42 2.5M 
[   ]mame-tools-0.251-r0.apk2024-10-26 06:10 2.5M 
[   ]libretro-mame2000-0_git20240701-r0.apk2026-01-10 03:02 2.5M 
[   ]gtkwave-3.3.120-r0.apk2024-10-26 06:09 2.6M 
[   ]k3sup-0.13.6-r11.apk2026-01-18 09:42 2.6M 
[   ]mdbook-linkcheck-0.7.7-r0.apk2025-05-17 06:17 2.6M 
[   ]klevernotes-1.1.0-r0.apk2024-10-26 06:09 2.6M 
[   ]cherrytree-1.4.0-r0.apk2025-03-27 04:40 2.6M 
[   ]warzone2100-lang-4.5.5-r5.apk2025-12-21 02:22 2.6M 
[   ]sigil-lang-2.7.0-r0.apk2025-12-18 14:08 2.6M 
[   ]rtl8192eu-src-5.11.2.3_git20251220-r0.apk2026-01-11 04:55 2.6M 
[   ]gitoxide-0.14.0-r1.apk2024-10-26 06:09 2.6M 
[   ]rtl8812au-src-5.6.4.2_git20250530-r0.apk2025-06-01 03:23 2.6M 
[   ]sos-0.8-r37.apk2026-01-18 09:42 2.6M 
[   ]copyq-13.0.0-r1.apk2025-12-05 05:49 2.6M 
[   ]rustls-ffi-dev-0.15.0-r0.apk2025-12-27 09:37 2.6M 
[   ]secsipidx-1.3.2-r18.apk2026-01-18 09:42 2.6M 
[   ]dmarc-cat-0.15.0-r12.apk2026-01-18 09:42 2.6M 
[   ]cloud-hypervisor-48.0-r0.apk2025-10-28 18:22 2.6M 
[   ]innernet-1.6.1-r0.apk2024-10-26 06:09 2.6M 
[   ]kronosnet-dev-1.32-r0.apk2025-12-27 21:10 2.7M 
[   ]sipexer-1.2.0-r9.apk2026-01-18 09:42 2.7M 
[   ]rizin-0.8.1-r0.apk2025-07-01 03:49 2.7M 
[   ]kile-lang-3.0_beta4-r0.apk2025-10-28 01:05 2.7M 
[   ]pitivi-2023.03-r2.apk2024-12-23 12:15 2.7M 
[   ]terminology-1.14.0-r0.apk2025-05-28 09:49 2.7M 
[   ]siril-1.2.6-r5.apk2025-09-28 08:15 2.7M 
[   ]normaliz-libs-3.10.4-r3.apk2025-11-26 19:24 2.7M 
[   ]krita-plugin-gmic-3.2.4.1-r3.apk2024-10-26 06:09 2.7M 
[   ]hub-2.14.2-r37.apk2026-01-18 09:42 2.7M 
[   ]emmylua-ls-0.19.0-r0.apk2026-01-24 23:54 2.7M 
[   ]tartube-2.5.0-r2.apk2025-12-09 23:12 2.7M 
[   ]pyonji-0.1.0-r12.apk2026-01-18 09:42 2.7M 
[   ]font-monaspace-radon-1.101-r0.apk2024-10-26 06:09 2.7M 
[   ]eww-0.6.0-r0.apk2025-11-10 06:42 2.8M 
[   ]consul-replicate-0.4.0-r37.apk2026-01-18 09:42 2.8M 
[   ]hpnssh-18.8.0-r0.apk2025-11-29 06:38 2.8M 
[   ]msh-2.5.0-r18.apk2026-01-18 09:42 2.8M 
[   ]sydbox-oci-3.45.2-r0.apk2025-12-03 13:47 2.8M 
[   ]vym-2.9.26-r0.apk2024-10-26 06:11 2.8M 
[   ]linphone-libs-5.3.38-r0.apk2024-10-26 06:09 2.8M 
[   ]vidcutter-6.0.5.3-r0.apk2024-10-26 06:11 2.8M 
[   ]redlib-0.36.0-r0.apk2025-10-27 20:05 2.8M 
[   ]android-translation-layer-0_git20260106-r0.apk2026-01-08 23:08 2.8M 
[   ]apt-swarm-0.5.1-r1.apk2025-10-13 00:43 2.8M 
[   ]fceux-2.6.6-r4.apk2025-09-28 00:54 2.8M 
[   ]lychee-0.19.1-r0.apk2025-06-17 22:01 2.8M 
[   ]comet-0.3.2-r0.apk2026-01-05 12:46 2.8M 
[   ]suru-icon-theme-2025.05.0-r0.apk2025-05-10 04:27 2.9M 
[   ]walk-1.13.0-r9.apk2026-01-18 09:42 3.0M 
[   ]sgt-puzzles-0_git20230310-r2.apk2024-10-26 06:10 3.0M 
[   ]py3-language-data-pyc-1.3.0-r0.apk2024-12-02 07:08 3.0M 
[   ]budgie-control-center-1.4.0-r0.apk2025-10-17 04:07 3.0M 
[   ]butane-0.25.1-r3.apk2026-01-18 09:42 3.0M 
[   ]steamguard-cli-0.17.1-r0.apk2025-07-12 17:31 3.0M 
[   ]ripasso-cursive-0.7.0-r1.apk2026-01-18 09:42 3.0M 
[   ]ekphos-0.20.0-r0.apk2026-01-28 14:28 3.0M 
[   ]lbb-0.10.4-r2.apk2026-01-18 09:42 3.0M 
[   ]oha-1.12.1-r0.apk2025-12-20 11:03 3.0M 
[   ]recyclarr-7.5.2-r0.apk2025-12-14 04:36 3.0M 
[   ]aws-ecr-get-login-password-1.0.0_rc2-r2.apk2026-01-18 09:42 3.0M 
[   ]victoria-logs-vlogscli-1.41.1-r1.apk2026-01-18 09:42 3.1M 
[   ]recoll-1.43.9-r0.apk2025-12-28 19:01 3.1M 
[   ]gmic-libs-3.6.0-r2.apk2026-01-04 03:39 3.1M 
[   ]simh-3.11.1-r1.apk2024-10-26 06:10 3.1M 
[   ]aprilsh-client-0.7.12-r11.apk2026-01-18 09:42 3.1M 
[   ]gliderlabs-sigil-0.11.0-r11.apk2026-01-18 09:42 3.1M 
[   ]jsonnet-bundler-0.6.0-r11.apk2026-01-18 09:42 3.1M 
[   ]parpar-0.4.5-r1.apk2025-12-30 02:46 3.1M 
[   ]chimerautils-dbg-15.0.3-r0.apk2026-01-11 12:18 3.1M 
[   ]webhookd-1.20.2-r6.apk2026-01-18 09:42 3.1M 
[   ]libretro-dolphin-0_git20220407-r2.apk2024-10-26 06:09 3.1M 
[   ]odin-0.2026.01-r0.apk2026-01-08 13:33 3.1M 
[   ]octoprint-1.11.5-r0.apk2026-01-18 18:01 3.1M 
[   ]openrdap-0.9.1-r0.apk2025-06-25 02:50 3.1M 
[   ]symengine-0.12.0-r0.apk2024-10-26 06:10 3.1M 
[   ]pwntools-4.15.0-r0.apk2026-01-01 01:55 3.2M 
[   ]ruuvi-prometheus-0.1.9-r8.apk2026-01-18 09:42 3.2M 
[   ]pwru-1.0.7-r11.apk2026-01-18 09:42 3.2M 
[   ]maildir-rank-addr-1.4.1-r2.apk2026-01-18 09:42 3.2M 
[   ]svls-0.2.14-r0.apk2025-12-13 13:59 3.2M 
[   ]dockerize-0.9.6-r3.apk2026-01-18 09:42 3.2M 
[   ]emulationstation-theme-gbz35-2.11.2-r1.apk2024-10-26 06:09 3.3M 
[   ]prometheus-smtp2go-exporter-0.1.1-r5.apk2026-01-18 09:42 3.3M 
[   ]drupal7-7.103-r0.apk2024-12-05 04:28 3.3M 
[   ]hardinfo2-2.2.13-r0.apk2025-09-28 22:21 3.3M 
[   ]khinsider-2.0.7-r26.apk2026-01-18 09:42 3.3M 
[   ]ticker-5.0.7-r8.apk2026-01-18 09:42 3.3M 
[   ]nsh-dbg-0.4.2-r1.apk2024-10-26 06:10 3.3M 
[   ]pug-0.6.5-r1.apk2026-01-18 09:42 3.3M 
[   ]protoc-gen-doc-1.5.1-r1.apk2026-01-18 09:42 3.3M 
[   ]dstask-import-0.27-r5.apk2026-01-18 09:42 3.3M 
[   ]protoc-gen-openapiv2-2.27.5-r0.apk2026-01-29 04:46 3.3M 
[   ]firectl-0.2.0-r25.apk2026-01-18 09:42 3.4M 
[   ]hilbish-2.3.4-r11.apk2026-01-18 09:42 3.4M 
[   ]distroshelf-1.3.0-r0.apk2025-12-28 02:42 3.4M 
[   ]vym-doc-2.9.26-r0.apk2024-10-26 06:11 3.4M 
[   ]lomiri-download-manager-doc-0.3.0-r0.apk2025-12-09 22:10 3.4M 
[   ]kapow-0.7.1-r19.apk2026-01-18 09:42 3.4M 
[   ]gomp-1.0.0-r18.apk2026-01-18 09:42 3.4M 
[   ]prometheus-ceph-exporter-4.2.5-r10.apk2026-01-18 09:42 3.4M 
[   ]kanidm-clients-1.8.5-r1.apk2026-01-12 05:22 3.4M 
[   ]maildir2rss-0.0.7-r11.apk2026-01-18 09:42 3.4M 
[   ]kubeconform-0.7.0-r4.apk2026-01-18 09:42 3.5M 
[   ]gobuster-3.8.0-r4.apk2026-01-18 09:42 3.5M 
[   ]frescobaldi-3.3.0-r1.apk2024-10-26 06:09 3.5M 
[   ]libwasmtime-39.0.1-r0.apk2025-12-09 08:09 3.5M 
[   ]prometheus-unbound-exporter-0.4.6-r5.apk2025-05-14 09:00 3.5M 
[   ]webtunnel-0.0.2-r7.apk2026-01-18 09:42 3.5M 
[   ]alpine-lift-0.2.0-r29.apk2026-01-18 09:42 3.5M 
[   ]netscanner-0.5.1-r1.apk2024-10-26 06:10 3.5M 
[   ]certigo-1.16.0-r29.apk2026-01-18 09:42 3.5M 
[   ]ghq-1.8.0-r7.apk2026-01-18 09:42 3.5M 
[   ]tty-share-2.4.0-r24.apk2026-01-18 09:42 3.6M 
[   ]autorestic-1.8.3-r11.apk2026-01-18 09:42 3.6M 
[   ]phpactor-2025.10.17.0-r0.apk2025-11-19 14:57 3.6M 
[   ]qgis-dev-3.44.5-r0.apk2025-12-05 05:50 3.6M 
[   ]comics-downloader-0.33.8-r16.apk2026-01-18 09:42 3.6M 
[   ]advancemame-mess-3.9-r4.apk2024-10-26 06:08 3.6M 
[   ]victoria-logs-vlagent-1.41.1-r1.apk2026-01-18 09:42 3.7M 
[   ]ntpd-rs-1.6.2-r2.apk2025-12-19 04:56 3.7M 
[   ]lomiri-gallery-app-3.0.2-r2.apk2025-08-19 20:31 3.7M 
[   ]speedtest_exporter-0.3.2-r21.apk2026-01-18 09:42 3.7M 
[   ]sshm-1.10.0-r1.apk2026-01-18 09:42 3.7M 
[   ]dnote-0.16.0-r1.apk2026-01-18 09:42 3.7M 
[   ]zot-exporter-2.1.8-r3.apk2026-01-18 09:42 3.7M 
[   ]tuios-0.6.0-r0.apk2026-01-27 00:42 3.8M 
[   ]pathvector-6.3.2-r19.apk2026-01-18 09:42 3.8M 
[   ]openfire-doc-4.8.1-r1.apk2024-12-04 22:57 3.8M 
[   ]dprint-0.49.1-r0.apk2025-04-14 09:35 3.8M 
[   ]porla-0.41.0-r2.apk2025-02-25 02:36 3.9M 
[   ]invidtui-0.4.6-r11.apk2026-01-18 09:42 3.9M 
[   ]otrs-dev-6.0.48-r2.apk2024-10-26 06:10 3.9M 
[   ]protoc-gen-grpc-gateway-2.27.5-r0.apk2026-01-29 04:46 3.9M 
[   ]odin-vendor-0.2026.01-r0.apk2026-01-08 13:33 3.9M 
[   ]exercism-3.2.0-r19.apk2026-01-18 09:42 3.9M 
[   ]wabt-1.0.37-r0.apk2025-04-07 08:37 3.9M 
[   ]budgie-control-center-lang-1.4.0-r0.apk2025-10-17 04:07 3.9M 
[   ]mkbrr-1.20.0-r0.apk2026-01-27 22:44 3.9M 
[   ]modem-manager-gui-doc-0.0.20-r0.apk2024-10-26 06:10 3.9M 
[   ]lomiri-0.5.0-r4.apk2025-12-19 01:26 3.9M 
[   ]phoronix-test-suite-10.8.4-r2.apk2024-10-26 06:10 3.9M 
[   ]cortex-tenant-1.15.7-r3.apk2026-01-18 09:42 4.0M 
[   ]horizon-dbg-0.9.6-r9.apk2024-10-26 06:09 4.0M 
[   ]docker-machine-driver-kvm2-1.34.0-r11.apk2026-01-21 12:29 4.0M 
[   ]sly-1.0.0-r4.apk2025-11-17 10:39 4.0M 
[   ]prometheus-rethinkdb-exporter-1.0.1-r34.apk2026-01-18 09:42 4.0M 
[   ]rtl88x2bu-src-5.13.1_git20230711-r0.apk2024-10-26 06:10 4.0M 
[   ]java-jtharness-6.0_p12-r0.apk2024-10-26 06:09 4.0M 
[   ]gotify-cli-2.3.2-r11.apk2026-01-18 09:42 4.0M 
[   ]py3-drf-yasg-1.21.10-r0.apk2025-07-15 19:28 4.0M 
[   ]cariddi-1.4.5-r0.apk2026-01-27 04:52 4.1M 
[   ]cura-lang-5.2.2-r1.apk2024-10-26 06:08 4.1M 
[   ]mstflint-4.26.0.1-r0.apk2024-10-26 06:10 4.1M 
[   ]php81-pecl-grpc-1.76.0-r0.apk2025-10-25 06:24 4.1M 
[   ]php82-pecl-grpc-1.76.0-r0.apk2025-10-25 06:24 4.1M 
[   ]muse-doc-4.2.1-r2.apk2025-05-14 09:00 4.1M 
[   ]tonutils-reverse-proxy-0.4.6-r5.apk2026-01-18 09:42 4.1M 
[   ]spotify-player-0.20.4-r0.apk2025-03-03 02:57 4.1M 
[   ]sonicradio-0.8.12-r1.apk2026-01-18 09:42 4.2M 
[   ]fq-0.16.0-r1.apk2026-01-18 09:42 4.2M 
[   ]xemu-0.8.74-r0.apk2025-06-19 11:45 4.2M 
[   ]geodns-logs-3.3.0-r19.apk2026-01-18 09:42 4.2M 
[   ]i3status-rust-0.34.0-r0.apk2025-07-23 15:04 4.2M 
[   ]mqtt2prometheus-0.1.7-r22.apk2026-01-18 09:42 4.2M 
[   ]openocd-git-dbg-0_git20251018-r1.apk2025-10-21 07:14 4.2M 
[   ]amule-2.3.3-r13.apk2024-10-26 06:08 4.2M 
[   ]jsonnet-language-server-0.16.0-r2.apk2026-01-18 09:42 4.3M 
[   ]protoc-gen-openapi-0.4.0-r0.apk2026-01-28 04:30 4.3M 
[   ]mtg-2.1.7-r27.apk2026-01-18 09:42 4.3M 
[   ]newlib-esp-xtensa-esp32-elf-0_git20240109-r0.apk2024-10-26 06:10 4.3M 
[   ]pspp-dbg-2.0.1-r1.apk2025-10-10 04:38 4.3M 
[   ]newlib-esp-xtensa-esp32s3-elf-0_git20240109-r0.apk2024-10-26 06:10 4.3M 
[   ]acmetool-0.2.2-r19.apk2026-01-18 09:42 4.3M 
[   ]rustical-0.11.11-r0.apk2026-01-12 00:50 4.3M 
[   ]openapi-tui-0.9.4-r1.apk2024-10-26 06:10 4.3M 
[   ]wgcf-2.2.29-r2.apk2026-01-18 09:42 4.3M 
[   ]newlib-esp-xtensa-esp32s2-elf-0_git20240109-r0.apk2024-10-26 06:10 4.3M 
[   ]py3-nikola-8.3.3-r0.apk2025-10-11 21:49 4.3M 
[   ]kubectl-krew-0.4.5-r8.apk2026-01-18 09:42 4.3M 
[   ]mobroute-0.10.0-r6.apk2026-01-18 09:42 4.3M 
[   ]ytt-0.52.1-r3.apk2026-01-18 09:42 4.4M 
[   ]projectm-presets-3.1.12-r2.apk2024-10-26 06:10 4.4M 
[   ]arc-icon-theme-20161122-r0.apk2024-10-26 06:08 4.4M 
[   ]tqm-1.18.0-r1.apk2026-01-18 09:42 4.4M 
[   ]flare-engine-1.14-r1.apk2025-11-05 22:39 4.4M 
[   ]reg-0.16.1-r34.apk2026-01-18 09:42 4.4M 
[   ]sydbox-3.45.2-r0.apk2025-12-03 13:47 4.4M 
[   ]prometheus-smartctl-exporter-0.14.0-r6.apk2026-01-18 09:42 4.4M 
[   ]goguma-0.8.0-r4.apk2025-11-17 10:39 4.4M 
[   ]newlib-esp-xtensa-esp8266-elf-0_git20240109-r0.apk2024-10-26 06:10 4.5M 
[   ]gx-0.14.3-r36.apk2026-01-18 09:42 4.5M 
[   ]manifest-tool-2.2.1-r0.apk2026-01-24 04:23 4.5M 
[   ]cvise-2.11.0-r0.apk2025-03-11 14:55 4.5M 
[   ]packwiz-0_git20251102-r2.apk2026-01-18 09:42 4.5M 
[   ]yoshimi-doc-2.3.3.3-r0.apk2025-03-26 22:51 4.5M 
[   ]prometheus-fastly-exporter-10.2.0-r1.apk2026-01-18 09:42 4.5M 
[   ]geodns-3.3.0-r19.apk2026-01-18 09:42 4.6M 
[   ]kile-doc-3.0_beta4-r0.apk2025-10-28 01:05 4.6M 
[   ]prometheus-opnsense-exporter-0.0.11-r3.apk2026-01-18 09:42 4.6M 
[   ]alertmanager-irc-relay-0.5.1-r1.apk2025-09-07 03:20 4.6M 
[   ]php82-pecl-couchbase-4.3.0-r0.apk2025-10-24 12:33 4.6M 
[   ]atac-0.18.1-r0.apk2024-11-26 08:57 4.6M 
[   ]geonames-lang-0.3.1-r2.apk2024-10-26 06:09 4.6M 
[   ]cargo-udeps-0.1.60-r0.apk2026-01-10 03:02 4.6M 
[   ]tfupdate-0.8.2-r12.apk2026-01-18 09:42 4.6M 
[   ]fathom-1.3.1-r19.apk2026-01-18 09:42 4.6M 
[   ]ostui-1.0.4-r0.apk2025-09-11 13:50 4.6M 
[   ]smb4k-doc-4.0.5-r0.apk2026-01-11 12:09 4.6M 
[   ]incus-ui-canonical-0.18.0-r0.apk2025-08-22 23:18 4.7M 
[   ]php81-pecl-couchbase-4.3.0-r0.apk2025-06-15 15:00 4.7M 
[   ]sigil-2.7.0-r0.apk2025-12-18 14:08 4.7M 
[   ]envconsul-0.13.4-r2.apk2026-01-18 09:42 4.7M 
[   ]py3-litex-hub-pythondata-software-picolibc-2024.04-r0.apk2024-10-26 06:10 4.7M 
[   ]gx-go-1.9.0-r38.apk2026-01-18 09:42 4.7M 
[   ]cargo-shuttle-0.56.6-r0.apk2025-07-29 18:56 4.7M 
[   ]swi-prolog-9.2.9-r0.apk2024-12-21 21:08 4.8M 
[   ]acmeleaf-0.2.2-r0.apk2026-01-28 00:30 4.8M 
[   ]conntracct-0.2.7-r37.apk2026-01-18 09:42 4.8M 
[   ]s5cmd-2.3.0-r9.apk2026-01-18 09:42 4.8M 
[   ]nzbget-25.4-r0.apk2025-11-18 01:43 4.8M 
[   ]mint-themes-2.3.7-r1.apk2026-01-25 01:18 4.8M 
[   ]qownnotes-lang-25.12.5-r0.apk2025-12-15 07:26 4.9M 
[   ]abc-0_git20240102-r0.apk2024-10-26 06:08 4.9M 
[   ]cargo-geiger-0.13.0-r0.apk2025-10-11 04:32 4.9M 
[   ]mattermost-desktop-6.0.3-r0.apk2026-01-18 09:42 4.9M 
[   ]py3-nwdiag-3.0.0-r3.apk2024-10-26 06:10 4.9M 
[   ]java-jtreg-8.2.1_p1-r0.apk2026-01-29 17:10 4.9M 
[   ]py3-language-data-1.3.0-r0.apk2024-12-02 07:08 5.0M 
[   ]dhewm3-1.5.4-r0.apk2025-02-18 02:07 5.0M 
[   ]victoria-logs-1.41.1-r1.apk2026-01-18 09:42 5.0M 
[   ]rizin-libs-0.8.1-r0.apk2025-07-01 03:49 5.0M 
[   ]qtox-1.18.3-r0.apk2025-11-30 03:46 5.0M 
[   ]lefthook-2.0.16-r0.apk2026-01-28 23:00 5.0M 
[   ]elementary-icon-theme-8.1.0-r0.apk2025-05-14 08:59 5.0M 
[   ]autoscan-1.4.0-r17.apk2026-01-18 09:42 5.1M 
[   ]scalingo-1.30.0-r16.apk2026-01-18 09:42 5.1M 
[   ]templ-0.3.960-r2.apk2026-01-18 09:42 5.1M 
[   ]dustracing2d-2.1.1-r1.apk2024-10-26 06:09 5.1M 
[   ]flutter-glfw-3.38.4-r2.apk2026-01-14 15:08 5.2M 
[   ]flutter-gtk-3.38.4-r2.apk2026-01-14 15:08 5.2M 
[   ]speedtest-go-1.1.5-r21.apk2026-01-18 09:42 5.2M 
[   ]kubectl-oidc_login-1.34.2-r2.apk2026-01-18 09:42 5.3M 
[   ]secsipidx-dev-1.3.2-r18.apk2026-01-18 09:42 5.3M 
[   ]alps-0_git20230807-r18.apk2026-01-18 09:42 5.3M 
[   ]comics-downloader-gui-0.33.8-r16.apk2026-01-18 09:42 5.3M 
[   ]qbittorrent-cli-2.2.0-r6.apk2026-01-18 09:42 5.4M 
[   ]pure-data-doc-0.55.2-r0.apk2025-06-30 18:25 5.4M 
[   ]pict-rs-0.5.19-r1.apk2025-10-15 01:31 5.5M 
[   ]spacectl-1.12.0-r7.apk2026-01-18 09:42 5.5M 
[   ]tofu-ls-0.2.0-r2.apk2026-01-18 09:42 5.5M 
[   ]fxfloorboard-katana-mk2-20240515-r1.apk2024-10-26 06:09 5.5M 
[   ]virter-0.29.0-r6.apk2026-01-18 09:42 5.5M 
[   ]rattler-build-0.18.0-r0.apk2024-10-26 06:10 5.5M 
[   ]pihole-6.2.3-r0.apk2025-06-21 05:05 5.5M 
[   ]py3-litex-hub-pythondata-cpu-blackparrot-2024.04-r0.apk2024-10-26 06:10 5.6M 
[   ]drone-cli-1.8.0-r16.apk2026-01-18 09:42 5.6M 
[   ]glow-2.1.1-r6.apk2026-01-18 09:42 5.6M 
[   ]cargo-crev-0.26.3-r0.apk2025-03-03 03:12 5.7M 
[   ]rustic-0.9.3-r0.apk2024-10-26 06:10 5.8M 
[   ]advancemame-data-3.9-r4.apk2024-10-26 06:08 5.8M 
[   ]kiesel-0_git20260112-r0.apk2026-01-13 10:10 5.8M 
[   ]yoshimi-2.3.3.3-r0.apk2025-03-26 22:51 5.8M 
[   ]go-passbolt-cli-0.3.2-r9.apk2026-01-18 09:42 5.8M 
[   ]cinny-web-4.10.2-r0.apk2025-11-08 18:25 5.8M 
[   ]pypy3-pyc-7.3.19-r0.apk2025-11-02 02:11 5.8M 
[   ]crowdsec-sentinel-plugin-1.7.6-r0.apk2026-01-26 06:04 5.9M 
[   ]crowdsec-splunk-plugin-1.7.6-r0.apk2026-01-26 06:04 5.9M 
[   ]crowdsec-http-plugin-1.7.6-r0.apk2026-01-26 06:04 5.9M 
[   ]crowdsec-file-plugin-1.7.6-r0.apk2026-01-26 06:04 5.9M 
[   ]crowdsec-slack-plugin-1.7.6-r0.apk2026-01-26 06:04 5.9M 
[   ]crowdsec-email-plugin-1.7.6-r0.apk2026-01-26 06:04 5.9M 
[   ]compiz-0.9.14.2-r13.apk2025-11-29 11:01 5.9M 
[   ]mautrix-linkedin-0.2512.0-r1.apk2026-01-18 09:42 6.0M 
[   ]goshs-1.1.3-r1.apk2026-01-18 09:42 6.0M 
[   ]muse-4.2.1-r2.apk2025-05-14 09:00 6.0M 
[   ]sydbox-utils-3.45.2-r0.apk2025-12-03 13:47 6.1M 
[   ]warzone2100-4.5.5-r5.apk2025-12-21 02:22 6.1M 
[   ]wf-shell-0.9.0-r0.apk2025-02-28 07:17 6.1M 
[   ]mautrix-zulip-0.2511.0-r2.apk2026-01-18 09:42 6.1M 
[   ]clementine-1.4.1_git20260109-r1.apk2026-01-25 22:49 6.2M 
[   ]libwasmtime-static-39.0.1-r0.apk2025-12-09 08:09 6.2M 
[   ]ffmpeg4-libavcodec-4.4.6-r0.apk2026-01-04 12:24 6.3M 
[   ]libdcmtk-3.7.0-r0.apk2025-12-29 07:54 6.3M 
[   ]theforceengine-doc-1.09.540-r2.apk2026-01-10 07:12 6.3M 
[   ]upterm-server-0.17.0-r2.apk2026-01-18 09:42 6.3M 
[   ]ty-0.0.4-r0.apk2025-12-22 05:51 6.3M 
[   ]go-jsonnet-0.21.0-r6.apk2026-01-18 09:42 6.3M 
[   ]tempo-query-2.10.0-r0.apk2026-01-27 22:38 6.3M 
[   ]inlyne-0.5.0-r0.apk2025-12-22 13:56 6.4M 
[   ]zrepl-0.6.1-r17.apk2026-01-18 09:42 6.4M 
[   ]libretro-mame2003-0_git20240904-r0.apk2024-10-26 06:09 6.5M 
[   ]py3-flask-admin-1.6.1-r3.apk2024-10-26 06:10 6.5M 
[   ]amdgpu_top-0.11.0-r0.apk2025-09-03 11:48 6.6M 
[   ]nom-2.8.0-r9.apk2026-01-18 09:42 6.6M 
[   ]fpc-stage0-3.2.2-r3.apk2024-10-26 06:09 6.6M 
[   ]ovn-dbg-25.09.2-r0.apk2025-12-15 09:05 6.7M 
[   ]oniux-0.7.0-r0.apk2026-01-15 12:06 6.7M 
[   ]kompose-1.31.2-r16.apk2026-01-18 09:42 6.7M 
[   ]upterm-0.17.0-r2.apk2026-01-18 09:42 6.7M 
[   ]gb-0.4.4-r37.apk2026-01-18 09:42 6.7M 
[   ]sqlmap-1.10-r0.apk2026-01-13 04:29 6.8M 
[   ]tomcat9-9.0.112-r0.apk2025-12-02 07:49 6.8M 
[   ]theforceengine-1.09.540-r2.apk2026-01-10 07:12 6.8M 
[   ]tanka-0.35.0-r2.apk2026-01-18 09:42 6.8M 
[   ]yaegi-0.16.1-r14.apk2026-01-18 09:42 6.8M 
[   ]unicorn-2.1.4-r0.apk2025-10-15 01:46 6.9M 
[   ]ovhcloud-cli-0.9.0-r1.apk2026-01-18 09:42 6.9M 
[   ]please-build-17.22.0-r2.apk2026-01-18 09:42 6.9M 
[   ]tauri-cli-2.4.0-r0.apk2025-04-03 04:03 6.9M 
[   ]gambit-dev-4.9.5-r1.apk2025-04-07 21:34 7.0M 
[   ]filebrowser-2.27.0-r17.apk2026-01-18 09:42 7.1M 
[   ]protoconf-0.1.7-r19.apk2026-01-18 09:42 7.2M 
[   ]boost1.89-static-1.89.0-r1.apk2026-01-18 09:42 7.2M 
[   ]desync-0.9.6-r11.apk2026-01-18 09:42 7.3M 
[   ]psst-0_git20240526-r1.apk2024-10-26 06:10 7.3M 
[   ]telegram-bot-api-9.3-r0.apk2026-01-16 01:16 7.3M 
[   ]boa-cli-0.21-r0.apk2025-10-25 07:41 7.3M 
[   ]biome-2.3.13-r0.apk2026-01-29 11:33 7.3M 
[   ]mailctl-0.9.2-r0.apk2024-10-26 06:09 7.4M 
[   ]py3-dash-2.18.2-r0.apk2025-04-14 07:28 7.4M 
[   ]kine-0.10.1-r19.apk2026-01-18 09:42 7.4M 
[   ]telegram-tdlib-1.8.60-r0.apk2026-01-16 04:18 7.5M 
[   ]faust-2.79.3-r0.apk2025-06-08 04:01 7.5M 
[   ]warp-s3-1.4.0-r0.apk2026-01-22 12:35 7.6M 
[   ]azote-1.14.0-r0.apk2024-12-15 07:38 7.6M 
[   ]otf-cli-0.4.9-r1.apk2026-01-18 09:42 7.7M 
[   ]fluent-bit-4.2.0-r0.apk2025-12-05 05:49 7.7M 
[   ]sish-2.16.1-r11.apk2026-01-18 09:42 7.7M 
[   ]cargo-leptos-0.3.4-r0.apk2026-01-26 05:59 7.7M 
[   ]kanidm-unixd-clients-1.8.5-r1.apk2026-01-12 05:22 7.7M 
[   ]grpcurl-1.9.3-r9.apk2026-01-18 09:42 7.7M 
[   ]otf-agent-0.4.9-r1.apk2026-01-18 09:42 7.9M 
[   ]py3-okonomiyaki-2.0.0-r1.apk2025-10-15 05:56 7.9M 
[   ]go-away-0.7.0-r1.apk2025-09-07 03:20 7.9M 
[   ]glmark2-2023.01-r1.apk2024-10-26 06:09 7.9M 
[   ]tofutf-cli-0.10.0-r11.apk2026-01-18 09:42 8.0M 
[   ]youki-dbg-0.5.7-r0.apk2026-01-06 07:15 8.1M 
[   ]gmsh-4.15.0-r0.apk2025-11-01 08:52 8.1M 
[   ]transito-0.10.0-r1.apk2026-01-18 09:42 8.1M 
[   ]grpcui-1.5.1-r5.apk2026-01-18 09:42 8.1M 
[   ]wlroots0.18-static-0.18.3-r0.apk2025-11-29 10:54 8.2M 
[   ]oauth2-proxy-7.11.0-r5.apk2026-01-18 09:42 8.2M 
[   ]fwallet-1.2.0-r7.apk2025-11-17 10:39 8.2M 
[   ]supercollider-3.14.1-r0.apk2026-01-10 03:02 8.2M 
[   ]arduino-cli-1.3.1-r3.apk2026-01-18 09:42 8.2M 
[   ]unicorn-dev-2.1.4-r0.apk2025-10-15 01:46 8.3M 
[   ]davmail-6.5.1-r0.apk2025-11-17 10:39 8.3M 
[   ]wasmtime-39.0.1-r0.apk2025-12-09 08:09 8.4M 
[   ]mir-dev-2.25.2-r0.apk2025-12-19 01:26 8.4M 
[   ]py3-apicula-0.11.1-r1.apk2024-10-26 06:10 8.5M 
[   ]cloudfoundry-cli-8.7.9-r15.apk2026-01-18 09:42 8.6M 
[   ]art_standalone-dev-0_git20251009-r1.apk2026-01-14 15:08 8.6M 
[   ]texlab-5.25.0-r0.apk2025-12-31 08:14 8.6M 
[   ]tofutf-agent-0.10.0-r11.apk2026-01-18 09:42 8.6M 
[   ]jfrog-cli-2.45.0-r18.apk2026-01-18 09:42 8.7M 
[   ]bomctl-0.1.9-r12.apk2026-01-18 09:42 8.8M 
[   ]cc65-2.19-r0.apk2024-10-26 06:08 8.8M 
[   ]psi-plus-1.5.2096-r0.apk2025-09-30 23:50 8.9M 
[   ]lumina-desktop-core-1.6.2-r0.apk2024-10-26 06:09 8.9M 
[   ]darkradiant-3.9.0-r1.apk2026-01-10 07:12 8.9M 
[   ]gdcm-doc-html-3.2.2-r3.apk2025-12-02 16:55 8.9M 
[   ]coccinelle-1.1.1-r2.apk2024-10-26 06:08 9.0M 
[   ]openjfx-21.0.3_p1-r0.apk2026-01-20 09:38 9.0M 
[   ]piper-phonemize-2023.11.14.4-r9.apk2025-07-13 03:01 9.0M 
[   ]linphone-5.3.38-r0.apk2024-10-26 06:09 9.0M 
[   ]pixi-0.24.2-r0.apk2024-10-26 06:10 9.1M 
[   ]tenv-4.9.0-r2.apk2026-01-18 09:42 9.2M 
[   ]remco-0.12.5-r6.apk2026-01-18 09:42 9.2M 
[   ]cloudflared-2025.11.1-r12.apk2026-01-18 09:42 9.2M 
[   ]wine-staging-dev-11.1-r0.apk2026-01-26 05:39 9.3M 
[   ]termusic-mpv-0.12.0-r0.apk2025-10-13 12:36 9.4M 
[   ]opcr-policy-0.3.1-r2.apk2026-01-18 09:42 9.4M 
[   ]lldap-0.6.1-r0.apk2025-03-26 22:51 9.4M 
[   ]git-bug-0.8.1-r7.apk2026-01-18 09:42 9.5M 
[   ]undock-0.10.0-r6.apk2026-01-18 09:42 9.6M 
[   ]maxima-doc-extra-5.48.1-r9.apk2025-08-22 21:16 9.6M 
[   ]pspp-2.0.1-r1.apk2025-10-10 04:38 9.7M 
[   ]haproxy-dataplaneapi2-2.9.18-r3.apk2026-01-18 09:42 9.7M 
[   ]zot-cli-2.1.8-r3.apk2026-01-18 09:42 9.7M 
[   ]mods-1.8.1-r4.apk2026-01-18 09:42 9.8M 
[   ]ko-0.17.1-r11.apk2026-01-18 09:42 9.8M 
[   ]flightgear-2024.1.1-r0.apk2025-03-05 11:16 9.8M 
[   ]sc3-plugins-3.13.0-r2.apk2025-02-09 10:46 9.8M 
[   ]rio-0.2.35-r0.apk2025-11-17 10:39 9.8M 
[   ]mangal-4.0.6-r24.apk2026-01-18 09:42 9.9M 
[   ]openapi-validator-1.19.2-r0.apk2024-10-26 06:10 9.9M 
[   ]py3-litex-hub-pythondata-cpu-cva6-2024.04-r0.apk2024-10-26 06:10 10M 
[   ]intiface-central-2.6.7-r3.apk2025-11-17 10:39 10M 
[   ]gotify-2.7.2-r3.apk2026-01-18 09:42 10M 
[   ]stayrtr-0.6.3-r2.apk2026-01-18 09:42 10M 
[   ]dbmate-2.28.0-r2.apk2026-01-18 09:42 10M 
[   ]lima-1.2.1-r2.apk2026-01-18 09:42 10M 
[   ]please-build-tools-17.22.0-r2.apk2026-01-18 09:42 10M 
[   ]gambit-4.9.5-r1.apk2025-04-07 21:34 11M 
[   ]kubeseal-0.34.0-r0.apk2026-01-19 04:59 11M 
[   ]chasquid-1.17.0-r1.apk2026-01-18 09:42 11M 
[   ]adguardhome-0.107.71-r1.apk2026-01-18 09:42 11M 
[   ]sonar-scanner-7.1.0.4889-r0.apk2025-05-05 12:09 11M 
[   ]crow-translate-4.0.2-r0.apk2025-09-22 01:09 11M 
[   ]electron-lang-39.2.7-r0.apk2025-12-19 03:05 11M 
[   ]eccodes-2.45.0-r0.apk2026-01-20 05:00 11M 
[   ]opa-1.12.3-r0.apk2026-01-24 20:40 11M 
[   ]helm-unittest-1.0.3-r2.apk2026-01-18 09:42 11M 
[   ]cataclysm-dda-curses-0h-r0.apk2025-03-26 22:50 11M 
[   ]naabu-2.4.0-r0.apk2026-01-22 12:50 11M 
[   ]regal-0.36.1-r2.apk2026-01-18 09:42 11M 
[   ]libretro-fbneo-0_git20220416-r0.apk2024-10-26 06:09 11M 
[   ]jackal-0.64.0-r21.apk2026-01-18 09:42 11M 
[   ]r2ghidra-6.0.8-r0.apk2026-01-06 05:54 11M 
[   ]melange-0.37.5-r1.apk2026-01-18 09:42 11M 
[   ]waifu2x-converter-cpp-5.3.4-r9.apk2025-07-07 18:32 12M 
[   ]mapnik-4.1.4-r2.apk2026-01-27 22:55 12M 
[   ]linkquisition-1.6.1-r11.apk2026-01-18 09:42 12M 
[   ]tabiew-0.11.0-r0.apk2025-07-30 18:51 12M 
[   ]lemmy-0.19.12-r1.apk2025-10-15 01:31 12M 
[   ]noggin-model-0.1-r0.apk2024-10-26 06:10 12M 
[   ]texmaker-6.0.1-r0.apk2025-06-27 18:41 12M 
[   ]kismet-0.202509.1-r0.apk2025-10-10 04:38 12M 
[   ]rt6-6.0.1-r0.apk2025-08-12 18:31 12M 
[   ]otf-server-0.4.9-r1.apk2026-01-18 09:42 12M 
[   ]gmic-3.6.0-r2.apk2026-01-04 03:39 12M 
[   ]fynedesk-0.4.0-r3.apk2026-01-18 09:42 12M 
[   ]kube-no-trouble-0.7.3-r11.apk2026-01-18 09:42 13M 
[   ]gprbuild-25.0.0-r0.apk2025-10-29 13:54 13M 
[   ]tofutf-server-0.10.0-r11.apk2026-01-18 09:42 13M 
[   ]ibus-typing-booster-2.29.0-r0.apk2025-12-17 02:13 13M 
[   ]lima-guestagent-1.2.1-r2.apk2026-01-18 09:42 13M 
[   ]advancemame-3.9-r4.apk2024-10-26 06:08 13M 
[   ]linuxkit-1.8.2-r2.apk2026-01-18 09:42 13M 
[   ]pop-cursor-theme-3.5.1-r0.apk2025-03-26 22:51 13M 
[   ]pypy3-tests-7.3.19-r0.apk2025-11-02 02:11 13M 
[   ]mtail-3.2.26-r2.apk2026-01-18 09:42 13M 
[   ]vice-3.9-r3.apk2026-01-10 07:12 13M 
[   ]tuwunel-1.4.9.1-r0.apk2026-01-02 00:24 13M 
[   ]regclient-0.11.1-r1.apk2026-01-18 09:42 13M 
[   ]taskcafe-0.3.6-r19.apk2026-01-18 09:42 13M 
[   ]vcmi-1.7.1-r0.apk2025-12-31 18:49 13M 
[   ]runu-0.12.3-r2.apk2026-01-18 09:42 14M 
[   ]buf-protoc-plugins-1.59.0-r2.apk2026-01-18 09:42 14M 
[   ]jupyterlab3-3.6.8-r1.apk2025-08-10 12:01 14M 
[   ]virtctl-1.6.2-r2.apk2026-01-18 09:42 14M 
[   ]prometheus-podman-exporter-1.18.1-r4.apk2026-01-18 09:42 14M 
[   ]yass-2.5.0-r0.apk2024-10-26 06:11 14M 
[   ]gdcm-doc-pdf-3.2.2-r3.apk2025-12-02 16:55 14M 
[   ]kanidm-server-1.8.5-r1.apk2026-01-12 05:22 14M 
[   ]buf-1.59.0-r2.apk2026-01-18 09:42 14M 
[   ]libresprite-1.2-r0.apk2025-04-14 09:16 15M 
[   ]typlite-0.14.2-r0.apk2025-11-23 03:17 15M 
[   ]kopia-0.22.3-r1.apk2026-01-18 09:42 15M 
[   ]phosh-osk-data-se-0.42.0-r0.apk2025-12-17 03:45 15M 
[   ]btcd-0.25.0-r1.apk2026-01-18 09:42 15M 
[   ]whatsie-4.16.3-r0.apk2025-01-13 00:30 15M 
[   ]pypy3-7.3.19-r0.apk2025-11-02 02:11 15M 
[   ]kubepug-1.7.1-r16.apk2026-01-18 09:42 15M 
[   ]pypy-7.3.19-r0.apk2025-11-02 02:11 16M 
[   ]semaphoreui-2.16.37-r2.apk2026-01-18 09:42 16M 
[   ]fcitx5-mozc-2.32.5994.102.20251109-r0.apk2025-11-17 10:39 16M 
[   ]ghostty-1.2.3_git20260112-r1.apk2026-01-21 21:45 16M 
[   ]katana-1.4.0-r0.apk2026-01-22 12:50 16M 
[   ]dnscontrol-4.32.0-r0.apk2026-01-26 05:41 16M 
[   ]flutter-tool-3.38.4-r2.apk2026-01-14 15:08 16M 
[   ]pypy-bootstrap-7.3.19-r0.apk2025-11-02 02:11 16M 
[   ]caprine-2.61.0-r0.apk2026-01-10 03:02 16M 
[   ]phosh-osk-data-uk-0.42.0-r0.apk2025-12-17 03:45 16M 
[   ]duckdb-1.4.3-r1.apk2026-01-09 02:54 16M 
[   ]icestorm-0_git20240517-r0.apk2024-10-26 06:09 16M 
[   ]hubble-cli-0.13.6-r11.apk2026-01-18 09:42 17M 
[   ]boost1.89-dev-1.89.0-r1.apk2026-01-18 09:42 17M 
[   ]faust-doc-2.79.3-r0.apk2025-06-08 04:01 17M 
[   ]tempo-vulture-2.10.0-r0.apk2026-01-27 22:38 17M 
[   ]rt5-5.0.8-r0.apk2025-06-15 15:44 17M 
[   ]helm-ls-0.5.4-r2.apk2026-01-18 09:42 17M 
[   ]keybase-client-6.2.8-r16.apk2026-01-18 09:42 17M 
[   ]stern-1.33.0-r2.apk2026-01-18 09:42 17M 
[   ]pipeleek-0.52.0-r0.apk2026-01-24 02:23 17M 
[   ]stalwart-mail-0.15.4-r3.apk2026-01-22 12:50 18M 
[   ]httpx-1.8.1-r0.apk2026-01-23 05:40 18M 
[   ]bestline-doc-0.0_git20211108-r0.apk2024-10-26 06:08 18M 
[   ]nvidia-src-575.64.05-r0.apk2025-07-23 15:04 18M 
[   ]duckdb-libs-1.4.3-r1.apk2026-01-09 02:54 18M 
[   ]lomiri-sounds-25.01-r0.apk2025-08-20 07:08 18M 
[   ]wtfutil-0.43.0-r19.apk2026-01-18 09:42 18M 
[   ]plakar-1.0.6-r2.apk2026-01-18 09:42 18M 
[   ]art_standalone-0_git20251009-r1.apk2026-01-14 15:08 18M 
[   ]font-babelstone-han-15.1.3-r0.apk2024-10-26 06:09 18M 
[   ]tetragon-client-1.1.2-r11.apk2026-01-18 09:42 19M 
[   ]rke-1.4.3-r21.apk2026-01-18 09:42 19M 
[   ]bettercap-2.41.5-r1.apk2026-01-18 09:42 19M 
[   ]py3-duckdb-1.4.3-r0.apk2026-01-08 13:33 19M 
[   ]prowlarr-2.3.0.5236-r0.apk2025-12-14 04:14 19M 
[   ]mame-data-0.251-r0.apk2024-10-26 06:10 19M 
[   ]tinymist-0.14.2-r0.apk2025-11-23 03:17 19M 
[   ]linux-elm-6.16.5-r0.apk2025-09-09 20:47 19M 
[   ]minikube-1.34.0-r11.apk2026-01-21 12:29 19M 
[   ]zafiro-icon-theme-1.3-r0.apk2024-10-26 06:11 19M 
[   ]cataclysm-dda-0h-r0.apk2025-03-26 22:50 19M 
[   ]py3-litex-hub-pythondata-cpu-microwatt-2024.04-r0.apk2024-10-26 06:10 19M 
[   ]kraftkit-0.12.3-r2.apk2026-01-18 09:42 19M 
[   ]libretro-scummvm-0_git20210325-r0.apk2024-10-26 06:09 20M 
[   ]thanos-0.31.0-r19.apk2026-01-18 09:42 20M 
[   ]helm-mapkubeapis-0.6.1-r2.apk2026-01-18 09:42 20M 
[   ]phosh-osk-data-ru-0.42.0-r0.apk2025-12-17 03:45 20M 
[   ]helm-diff-3.13.1-r2.apk2026-01-18 09:42 20M 
[   ]linux-asahi-6.18.4_p1-r0.apk2026-01-11 00:26 20M 
[   ]py3-plotly-5.24.1-r2.apk2025-04-19 09:10 20M 
[   ]lidarr-3.1.0.4875-r0.apk2025-12-14 04:30 21M 
[   ]flightgear-dbg-2024.1.1-r0.apk2025-03-05 11:16 21M 
[   ]harper-1.5.1-r0.apk2026-01-29 11:50 21M 
[   ]py3-qgis-3.44.5-r0.apk2025-12-05 05:50 21M 
[   ]tempo-cli-2.10.0-r0.apk2026-01-27 22:38 21M 
[   ]avara-0.7.1-r1.apk2024-11-04 19:52 21M 
[   ]kuma-dp-2.10.1-r7.apk2026-01-18 09:42 22M 
[   ]knative-client-1.19.6-r2.apk2026-01-18 09:42 22M 
[   ]timoni-0.23.0-r11.apk2026-01-18 09:42 22M 
[   ]devpod-0.6.15-r10.apk2026-01-18 09:42 22M 
[   ]yosys-0.57-r0.apk2025-10-25 08:11 22M 
[   ]angband-4.2.5-r0.apk2024-10-26 06:08 23M 
[   ]vector-0.50.0-r0.apk2025-10-10 04:38 23M 
[   ]futhark-0.25.28-r0.apk2025-03-12 09:54 23M 
[   ]stacker-1.1.3-r2.apk2026-01-18 09:42 23M 
[   ]linux-asahi-dev-6.18.4_p1-r0.apk2026-01-11 00:26 23M 
[   ]mint-x-icons-1.7.5-r0.apk2025-12-01 17:04 23M 
[   ]sourcegit-8.33-r0.apk2024-10-26 06:10 23M 
[   ]telegram-tdlib-static-1.8.60-r0.apk2026-01-16 04:18 23M 
[   ]nsq-1.3.0-r10.apk2025-05-14 09:00 24M 
[   ]kumactl-2.10.1-r7.apk2026-01-18 09:42 24M 
[   ]maxima-5.48.1-r9.apk2025-08-22 21:16 24M 
[   ]android-apktool-2.12.1-r0.apk2025-10-15 01:53 24M 
[   ]seaweedfs-3.93-r5.apk2026-01-18 09:42 25M 
[   ]nextpnr-ecp5-0.7-r0.apk2024-10-26 06:10 25M 
[   ]duckdb-dev-1.4.3-r1.apk2026-01-09 02:54 26M 
[   ]code-oss-1.105.1-r1.apk2025-11-11 06:20 26M 
[   ]linux-gru-6.11.0-r0.apk2024-10-26 06:09 26M 
[   ]popeye-0.22.1-r10.apk2026-01-18 09:42 26M 
[   ]kuma-cp-2.10.1-r7.apk2026-01-18 09:42 27M 
[   ]radarr-6.0.4.10291-r0.apk2025-11-18 01:41 27M 
[   ]sonarr-4.0.16.2944-r0.apk2025-11-18 01:37 27M 
[   ]cfssl-1.6.5-r11.apk2026-01-18 09:42 28M 
[   ]cdogs-sdl-2.3.2-r0.apk2025-10-10 05:46 28M 
[   ]thelounge-4.4.3-r0.apk2024-10-26 06:11 28M 
[   ]openjfx-demos-21.0.3_p1-r0.apk2026-01-20 09:38 28M 
[   ]otrs-6.0.48-r2.apk2024-10-26 06:10 29M 
[   ]stone-soup-0.33.1-r0.apk2025-12-27 01:50 29M 
[   ]spark-2.8.3-r1.apk2024-10-26 06:10 29M 
[   ]font-hanazono-20170904-r2.apk2025-09-16 00:00 29M 
[   ]vscodium-1.106.37943-r0.apk2025-12-22 06:09 29M 
[   ]bazel7-7.7.1-r0.apk2025-11-23 05:43 29M 
[   ]polycule-0.3.4-r0.apk2025-11-17 10:39 29M 
[   ]tempo-2.10.0-r0.apk2026-01-27 22:38 30M 
[   ]vlang-0.4.11-r0.apk2025-06-22 00:58 30M 
[   ]kubetail-0.11.0-r0.apk2026-01-22 12:50 31M 
[   ]element-desktop-1.12.9-r0.apk2026-01-28 04:28 31M 
[   ]flutter-desktop-3.38.4-r2.apk2026-01-14 15:08 31M 
[   ]oxygen-icons-6.1.0-r0.apk2024-10-26 06:10 32M 
[   ]liquibase-4.9.1-r0.apk2024-10-26 06:09 32M 
[   ]bazel8-8.4.2-r0.apk2025-10-10 04:37 32M 
[   ]zed-0.138.4-r5.apk2025-03-26 22:51 32M 
[   ]zfs-src-2.4.0-r0.apk2025-12-19 19:50 33M 
[   ]qgis-lang-3.44.5-r0.apk2025-12-05 05:50 33M 
[   ]efl-1.28.1-r2.apk2025-03-26 22:50 34M 
[   ]vals-0.42.4-r2.apk2026-01-18 09:42 35M 
[   ]yaru-icon-theme-25.10.3-r0.apk2026-01-06 16:46 35M 
[   ]filebeat-9.2.4-r0.apk2026-01-28 15:25 36M 
[   ]flutter-common-3.38.4-r2.apk2026-01-14 15:08 36M 
[   ]nuclei-3.6.2-r1.apk2026-01-18 09:42 36M 
[   ]dolt-1.79.1-r2.apk2026-01-18 09:42 37M 
[   ]xmoto-data-0.6.3-r0.apk2025-10-04 04:02 37M 
[   ]crowdsec-1.7.6-r0.apk2026-01-26 06:04 37M 
[   ]cataclysm-dda-lang-0h-r0.apk2025-03-26 22:50 38M 
[   ]usql-0.19.26-r2.apk2026-01-18 09:42 39M 
[   ]extremetuxracer-0.8.3-r0.apk2024-10-26 06:09 40M 
[   ]argocd-3.2.5-r0.apk2026-01-19 05:19 41M 
[   ]calibre-8.16.2-r0.apk2026-01-29 10:43 41M 
[   ]godot-templates-4.5.1-r1.apk2026-01-14 15:08 42M 
[   ]cura-5.2.2-r1.apk2024-10-26 06:08 42M 
[   ]php82-dbg-8.2.30-r2.apk2026-01-14 15:08 43M 
[   ]dart-3.10.3-r1.apk2026-01-14 15:08 43M 
[   ]go-tools-0.41.0-r1.apk2026-01-18 09:42 43M 
[   ]signal-desktop-7.85.0-r0.apk2026-01-18 09:42 46M 
[   ]openfire-4.8.1-r1.apk2024-12-04 22:57 46M 
[   ]metricbeat-9.2.4-r0.apk2026-01-28 15:25 46M 
[   ]nrf5-sdk-17.1.0-r0.apk2025-08-20 06:54 47M 
[   ]qt-creator-18.0.2-r0.apk2026-01-28 04:03 47M 
[   ]starfighter-2.4-r0.apk2024-10-26 06:10 48M 
[   ]cataclysm-dda-tiles-0h-r0.apk2025-03-26 22:50 48M 
[   ]mame-mess-0.251-r0.apk2024-10-26 06:10 49M 
[   ]qgis-3.44.5-r0.apk2025-12-05 05:50 49M 
[   ]lemmy-ui-0.19.12-r0.apk2025-06-23 15:45 52M 
[   ]zarf-0.32.1-r16.apk2026-01-18 09:42 52M 
[   ]phosh-osk-data-es-0.42.0-r0.apk2025-12-17 03:45 52M 
[   ]cilium-cli-0.16.13-r11.apk2026-01-18 09:42 53M 
[   ]phosh-osk-data-nl-0.42.0-r0.apk2025-12-17 03:45 54M 
[   ]phosh-osk-data-pl-0.42.0-r0.apk2025-12-17 03:45 57M 
[   ]phosh-osk-data-pt-0.42.0-r0.apk2025-12-17 03:45 57M 
[   ]py3-litex-hub-pythondata-cpu-rocket-2024.04-r0.apk2024-10-26 06:10 57M 
[   ]helmfile-1.1.8-r2.apk2026-01-18 09:42 57M 
[   ]phosh-osk-data-it-0.42.0-r0.apk2025-12-17 03:45 58M 
[   ]hashcat-6.2.6-r0.apk2024-10-26 06:09 59M 
[   ]godot-4.5.1-r1.apk2026-01-14 15:08 60M 
[   ]stockfish-17-r0.apk2025-03-04 09:40 62M 
[   ]trivy-0.68.2-r1.apk2026-01-18 09:42 64M 
[   ]phosh-osk-data-fi-0.42.0-r0.apk2025-12-17 03:45 64M 
[   ]mame-arcade-0.251-r0.apk2024-10-26 06:10 64M 
[   ]phosh-osk-data-de-0.42.0-r0.apk2025-12-17 03:45 64M 
[   ]nextpnr-ice40-0.7-r0.apk2024-10-26 06:10 69M 
[   ]fpc-3.2.2-r4.apk2024-10-26 06:09 69M 
[   ]sdrangel-7.22.9-r0.apk2025-10-25 07:29 69M 
[   ]wine-staging-11.1-r0.apk2026-01-26 05:39 72M 
[   ]zot-2.1.8-r3.apk2026-01-18 09:42 72M 
[   ]mint-y-icons-1.9.1-r0.apk2026-01-08 13:33 73M 
[   ]haskell-language-server-2.9.0.0-r0.apk2024-10-26 06:09 76M 
[   ]texstudio-4.9.1-r0.apk2025-12-16 08:29 82M 
[   ]kotlin-language-server-1.3.13-r0.apk2025-04-03 04:03 83M 
[   ]hyperrogue-13.1i-r0.apk2025-12-19 14:52 83M 
[   ]firefox-developer-edition-147.0_beta6-r1.apk2026-01-14 15:08 84M 
[   ]mame-0.251-r0.apk2024-10-26 06:09 91M 
[   ]piglit-0_git20241106-r1.apk2025-05-14 09:00 92M 
[   ]electron-39.2.7-r0.apk2025-12-19 03:05 99M 
[   ]jadx-1.5.3-r0.apk2025-09-10 23:55 111M 
[   ]moka-icon-theme-5.4.0-r2.apk2024-10-26 06:10 114M 
[   ]organicmaps-2025.09.05.1-r1.apk2026-01-14 15:08 126M 
[   ]dart-sdk-3.10.3-r1.apk2026-01-14 15:08 135M 
[   ]art_standalone-dbg-0_git20251009-r1.apk2026-01-14 15:08 139M 
[   ]gmsh-dbg-4.15.0-r0.apk2025-11-01 08:52 147M 
[   ]srb2-data-2.2.15-r2.apk2025-12-21 02:22 160M 
[   ]dart-stage0-3.10.0_alpha244_p0-r0.apk2025-11-17 10:39 181M 
[   ]warzone2100-data-4.5.5-r5.apk2025-12-21 02:22 328M 
[   ]trigger-rally-data-0.6.7-r3.apk2025-03-26 22:51 352M 
[   ]qt-creator-dbg-18.0.2-r0.apk2026-01-28 04:03 470M 
[   ]sauerbraten-2020.12.29-r4.apk2025-02-23 02:38 934M